NAME

dfxtp from sky130_fd_sc_ls

DESCRIPTION

Delay flop, single output.

FUNCTION

VERILOG

"sky130_fd_sc_ls__dfxtp"
/*
*/


`ifndef SKY130_FD_SC_LS__DFXTP_FUNCTIONAL_V
`define SKY130_FD_SC_LS__DFXTP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dff_p/sky130_fd_sc_ls__udp_dff_p.v"

`celldefine
module sky130_fd_sc_ls__dfxtp (
    Q  ,
    CLK,
    D
);

    // Module ports
    output Q  ;
    input  CLK;
    input  D  ;

    // Local signals
    wire buf_Q;

    //                         Delay       Name  Output  Other arguments
    sky130_fd_sc_ls__udp_dff$P `UNIT_DELAY dff0 (buf_Q , D, CLK         );
    buf                                    buf0 (Q     , buf_Q          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__DFXTP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__dfxtp_1

not to scale



.subckt sky130_fd_sc_ls__dfxtp_1 CLK D VGND VNB VPB VPWR Q
X0 VPWR a_561_463# a_713_458# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X1 VPWR a_1210_314# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 a_713_458# a_206_368# a_1011_424# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X3 a_561_463# a_27_74# a_668_503# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_1168_124# a_1210_314# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_713_458# a_27_74# a_1011_424# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X6 a_561_463# a_206_368# a_731_101# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_1011_424# a_27_74# a_1168_124# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_27_74# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 a_454_503# a_206_368# a_561_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 VGND D a_454_503# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_1118_508# a_1210_314# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X12 a_454_503# a_27_74# a_561_463# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 VGND a_561_463# a_713_458# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X14 a_731_101# a_713_458# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X15 a_1210_314# a_1011_424# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X16 VPWR a_27_74# a_206_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X17 a_27_74# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 VGND a_27_74# a_206_368# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X19 a_1011_424# a_206_368# a_1118_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X20 VPWR D a_454_503# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X21 a_668_503# a_713_458# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X22 VGND a_1210_314# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X23 a_1210_314# a_1011_424# VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
.ends

sky130_fd_sc_ls__dfxtp_2

not to scale


.subckt sky130_fd_sc_ls__dfxtp_2 CLK D VGND VNB VPB VPWR Q
X0 Q a_1217_314# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 VPWR D a_431_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_1125_508# a_1217_314# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_1019_424# a_27_74# a_1172_124# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_1217_314# a_1019_424# VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X5 VGND D a_431_508# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_538_429# a_27_74# a_644_504# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 a_1217_314# a_1019_424# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X8 a_695_459# a_206_368# a_1019_424# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X9 a_1019_424# a_206_368# a_1125_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 a_27_74# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 VPWR a_538_429# a_695_459# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X12 a_1172_124# a_1217_314# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 VGND a_27_74# a_206_368# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 a_431_508# a_27_74# a_538_429# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X15 a_538_429# a_206_368# a_708_101# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 VPWR a_1217_314# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X17 a_644_504# a_695_459# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X18 a_431_508# a_206_368# a_538_429# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X19 VGND a_1217_314# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X20 Q a_1217_314# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X21 VPWR a_27_74# a_206_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X22 a_27_74# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X23 a_695_459# a_27_74# a_1019_424# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X24 VGND a_538_429# a_695_459# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X25 a_708_101# a_695_459# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_ls__dfxtp_4

not to scale


.subckt sky130_fd_sc_ls__dfxtp_4 CLK D VGND VNB VPB VPWR Q
X0 VPWR a_544_485# a_696_458# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X1 a_437_503# a_206_368# a_544_485# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_1034_424# a_27_74# a_1178_124# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_544_485# a_206_368# a_735_102# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_544_485# a_27_74# a_651_503# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 VGND a_1226_296# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 Q a_1226_296# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_1034_424# a_206_368# a_1141_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 a_696_458# a_27_74# a_1034_424# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X9 a_1141_508# a_1226_296# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 Q a_1226_296# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 VGND D a_437_503# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 VPWR a_1226_296# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 VPWR D a_437_503# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X14 a_27_74# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X15 VPWR a_1034_424# a_1226_296# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X16 a_735_102# a_696_458# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 VGND a_27_74# a_206_368# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 VPWR a_1226_296# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X19 VGND a_544_485# a_696_458# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X20 a_1226_296# a_1034_424# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X21 a_1178_124# a_1226_296# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X22 VGND a_1226_296# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X23 a_437_503# a_27_74# a_544_485# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X24 a_696_458# a_206_368# a_1034_424# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X25 VPWR a_27_74# a_206_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X26 a_27_74# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X27 Q a_1226_296# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X28 a_1226_296# a_1034_424# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X29 a_651_503# a_696_458# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X30 Q a_1226_296# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends