NAME

dfstp from sky130_fd_sc_ls

DESCRIPTION

Delay flop, inverted set, single output.

FUNCTION

VERILOG

"sky130_fd_sc_ls__dfstp"
/*
*/


`ifndef SKY130_FD_SC_LS__DFSTP_FUNCTIONAL_V
`define SKY130_FD_SC_LS__DFSTP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dff_ps/sky130_fd_sc_ls__udp_dff_ps.v"

`celldefine
module sky130_fd_sc_ls__dfstp (
    Q    ,
    CLK  ,
    D    ,
    SET_B
);

    // Module ports
    output Q    ;
    input  CLK  ;
    input  D    ;
    input  SET_B;

    // Local signals
    wire buf_Q;
    wire SET  ;

    //                          Delay       Name  Output  Other arguments
    not                                     not0 (SET   , SET_B          );
    sky130_fd_sc_ls__udp_dff$PS `UNIT_DELAY dff0 (buf_Q , D, CLK, SET    );
    buf                                     buf0 (Q     , buf_Q          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__DFSTP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__dfstp_1

not to scale



.subckt sky130_fd_sc_ls__dfstp_1 CLK D SET_B VGND VNB VPB VPWR Q
X0 a_27_74# a_398_74# a_604_74# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 VPWR a_604_74# a_760_395# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 VPWR a_604_74# a_1197_341# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X3 a_1298_392# a_398_74# a_1457_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_224_350# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 VPWR a_1298_392# a_1470_48# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 VPWR a_1902_74# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 a_760_395# SET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 a_1457_508# a_1470_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 a_604_74# a_224_350# a_709_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 a_1027_118# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 VGND a_224_350# a_398_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 a_604_74# a_398_74# a_740_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 a_1500_74# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 a_224_350# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X15 a_709_463# a_760_395# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X16 VGND a_1298_392# a_1470_48# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 a_1197_341# a_224_350# a_1298_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X18 a_1422_74# a_1470_48# a_1500_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 VGND a_1902_74# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X20 a_1902_74# a_1298_392# VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X21 VPWR SET_B a_1298_392# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X22 a_740_74# a_760_395# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X23 a_27_74# a_224_350# a_604_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X24 a_27_74# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X25 a_1215_74# a_398_74# a_1298_392# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X26 a_27_74# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X27 VGND a_604_74# a_1215_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X28 VPWR a_224_350# a_398_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X29 a_760_395# a_604_74# a_1027_118# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X30 a_1298_392# a_224_350# a_1422_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X31 a_1902_74# a_1298_392# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
.ends

sky130_fd_sc_ls__dfstp_2

not to scale


.subckt sky130_fd_sc_ls__dfstp_2 CLK D SET_B VGND VNB VPB VPWR Q
X0 a_1278_74# a_398_74# a_1356_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X1 a_1521_508# a_1566_92# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_225_74# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_767_384# SET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_27_74# a_225_74# a_612_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_1356_74# a_398_74# a_1521_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_1057_118# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_781_74# a_767_384# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_225_74# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 a_612_74# a_398_74# a_781_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 VGND a_225_74# a_398_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 a_1266_341# a_225_74# a_1356_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X12 VPWR a_2022_94# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 VGND a_612_74# a_1278_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X14 a_27_74# a_398_74# a_612_74# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X15 a_1356_74# a_225_74# a_1489_118# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 VPWR a_1356_74# a_1566_92# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X17 a_2022_94# a_1356_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X18 a_1596_118# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 a_612_74# a_225_74# a_716_456# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X20 VPWR a_225_74# a_398_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X21 a_716_456# a_767_384# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X22 Q a_2022_94# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X23 VGND a_1356_74# a_1566_92# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X24 a_27_74# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X25 a_27_74# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X26 a_2022_94# a_1356_74# VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X27 VGND a_2022_94# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X28 VPWR a_612_74# a_1266_341# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X29 a_1489_118# a_1566_92# a_1596_118# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X30 Q a_2022_94# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X31 VPWR SET_B a_1356_74# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X32 VPWR a_612_74# a_767_384# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X33 a_767_384# a_612_74# a_1057_118# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_ls__dfstp_4

not to scale


.subckt sky130_fd_sc_ls__dfstp_4 CLK D SET_B VGND VNB VPB VPWR Q
X0 a_1321_392# a_398_74# a_1480_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_716_463# a_767_402# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_732_74# a_767_402# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_225_74# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 a_27_74# a_225_74# a_612_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VGND a_1321_392# a_1484_62# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VPWR a_1940_74# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 VPWR a_1321_392# a_1484_62# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 Q a_1940_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 VPWR a_612_74# a_767_402# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 VPWR a_225_74# a_398_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 VGND a_225_74# a_398_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 VPWR SET_B a_1321_392# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X13 a_1940_74# a_1321_392# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X14 a_767_402# SET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X15 a_1514_88# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 a_1220_347# a_225_74# a_1321_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X17 VGND a_1940_74# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 VGND a_1940_74# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X19 a_27_74# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X20 a_27_74# a_398_74# a_612_74# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X21 VGND a_612_74# a_1225_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X22 Q a_1940_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X23 a_1035_118# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X24 a_1321_392# a_225_74# a_1436_88# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X25 Q a_1940_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X26 Q a_1940_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X27 a_27_74# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X28 VPWR a_1940_74# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X29 a_1436_88# a_1484_62# a_1514_88# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X30 a_1940_74# a_1321_392# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X31 a_612_74# a_225_74# a_716_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X32 VPWR a_612_74# a_1220_347# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X33 a_1480_508# a_1484_62# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X34 a_225_74# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X35 a_612_74# a_398_74# a_732_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X36 VPWR a_1321_392# a_1940_74# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X37 a_767_402# a_612_74# a_1035_118# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X38 a_1225_74# a_398_74# a_1321_392# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
.ends