NAME

dfsbp from sky130_fd_sc_ls

DESCRIPTION

Delay flop, inverted set, complementary outputs.

FUNCTION

VERILOG

"sky130_fd_sc_ls__dfsbp"
/*
*/


`ifndef SKY130_FD_SC_LS__DFSBP_FUNCTIONAL_V
`define SKY130_FD_SC_LS__DFSBP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dff_ps/sky130_fd_sc_ls__udp_dff_ps.v"

`celldefine
module sky130_fd_sc_ls__dfsbp (
    Q    ,
    Q_N  ,
    CLK  ,
    D    ,
    SET_B
);

    // Module ports
    output Q    ;
    output Q_N  ;
    input  CLK  ;
    input  D    ;
    input  SET_B;

    // Local signals
    wire buf_Q;
    wire SET  ;

    //                          Delay       Name  Output  Other arguments
    not                                     not0 (SET   , SET_B          );
    sky130_fd_sc_ls__udp_dff$PS `UNIT_DELAY dff0 (buf_Q , D, CLK, SET    );
    buf                                     buf0 (Q     , buf_Q          );
    not                                     not1 (Q_N   , buf_Q          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__DFSBP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__dfsbp_1

not to scale



.subckt sky130_fd_sc_ls__dfsbp_1 CLK D SET_B VGND VNB VPB VPWR Q Q_N
X0 VPWR a_596_81# a_1254_341# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 a_225_74# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 VPWR a_1355_377# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 a_1061_74# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_1254_341# a_225_74# a_1355_377# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X5 a_225_74# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 a_1510_48# a_1355_377# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 VPWR SET_B a_1355_377# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 a_596_81# a_398_74# a_748_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_779_380# a_596_81# a_1061_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 VGND a_1355_377# Q_N VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 VGND a_596_81# a_1262_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X12 a_1462_74# a_1510_48# a_1540_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 VGND a_225_74# a_398_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 a_748_81# a_779_380# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X15 VGND a_2113_74# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 a_27_80# a_398_74# a_596_81# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X17 a_27_80# a_225_74# a_596_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 a_1262_74# a_398_74# a_1355_377# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X19 a_27_80# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X20 a_2113_74# a_1355_377# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X21 a_1540_74# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X22 a_1355_377# a_398_74# a_1517_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X23 a_1355_377# a_225_74# a_1462_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X24 VPWR a_225_74# a_398_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X25 a_2113_74# a_1355_377# VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X26 a_596_81# a_225_74# a_728_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X27 VGND a_1355_377# a_1510_48# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X28 VPWR a_2113_74# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X29 a_1517_508# a_1510_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X30 a_27_80# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X31 VPWR a_596_81# a_779_380# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X32 a_779_380# SET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X33 a_728_463# a_779_380# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

sky130_fd_sc_ls__dfsbp_2

not to scale


.subckt sky130_fd_sc_ls__dfsbp_2 CLK D SET_B VGND VNB VPB VPWR Q Q_N
X0 a_2221_74# a_1339_74# VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X1 a_1521_508# a_1501_92# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_225_74# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 VGND a_2221_74# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 a_595_97# a_225_74# a_706_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 VPWR a_2221_74# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 a_1339_74# a_398_74# a_1521_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 a_595_97# a_398_74# a_731_97# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_225_74# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 VPWR a_595_97# a_1258_341# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X10 a_706_463# a_757_401# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X11 a_731_97# a_757_401# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 a_757_401# a_595_97# a_1001_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 VGND a_1339_74# Q_N VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 VGND a_225_74# a_398_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 Q a_2221_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 VPWR a_595_97# a_757_401# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X17 VPWR SET_B a_1339_74# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X18 a_757_401# SET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X19 a_27_74# a_225_74# a_595_97# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X20 VPWR a_1339_74# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X21 a_1001_74# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X22 a_1261_74# a_398_74# a_1339_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X23 a_1339_74# a_225_74# a_1453_118# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X24 Q a_2221_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X25 a_2221_74# a_1339_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X26 a_27_74# a_398_74# a_595_97# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X27 VGND a_595_97# a_1261_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X28 a_1258_341# a_225_74# a_1339_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X29 VPWR a_225_74# a_398_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X30 a_1453_118# a_1501_92# a_1531_118# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X31 VGND a_1339_74# a_1501_92# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X32 a_27_74# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X33 Q_N a_1339_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X34 a_27_74# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X35 Q_N a_1339_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X36 a_1501_92# a_1339_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X37 a_1531_118# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends