NAME

dfrbp from sky130_fd_sc_ls

DESCRIPTION

Delay flop, inverted reset, complementary outputs.

FUNCTION

VERILOG

"sky130_fd_sc_ls__dfrbp"
/*
*/


`ifndef SKY130_FD_SC_LS__DFRBP_FUNCTIONAL_V
`define SKY130_FD_SC_LS__DFRBP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dff_pr/sky130_fd_sc_ls__udp_dff_pr.v"

`celldefine
module sky130_fd_sc_ls__dfrbp (
    Q      ,
    Q_N    ,
    CLK    ,
    D      ,
    RESET_B
);

    // Module ports
    output Q      ;
    output Q_N    ;
    input  CLK    ;
    input  D      ;
    input  RESET_B;

    // Local signals
    wire buf_Q;
    wire RESET;

    //                          Delay       Name  Output  Other arguments
    not                                     not0 (RESET , RESET_B        );
    sky130_fd_sc_ls__udp_dff$PR `UNIT_DELAY dff0 (buf_Q , D, CLK, RESET  );
    buf                                     buf0 (Q     , buf_Q          );
    not                                     not1 (Q_N   , buf_Q          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__DFRBP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__dfrbp_1

not to scale



.subckt sky130_fd_sc_ls__dfrbp_1 CLK D RESET_B VGND VNB VPB VPWR Q Q_N
X0 VPWR RESET_B a_1482_48# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_38_78# a_500_392# a_705_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_705_463# a_500_392# a_832_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VGND a_705_463# a_841_401# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 a_796_463# a_841_401# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 VGND a_2026_424# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 a_38_78# RESET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 a_125_78# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_841_401# a_319_392# a_1224_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X9 a_1624_74# a_1224_74# a_1482_48# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_38_78# D a_125_78# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 VPWR a_705_463# a_841_401# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X12 VGND a_1224_74# Q_N VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 VPWR a_319_392# a_500_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X14 a_319_392# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 a_1465_471# a_1482_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X16 a_1482_48# a_1224_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X17 a_910_119# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 a_2026_424# a_1224_74# VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X19 a_319_392# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X20 a_705_463# a_319_392# a_796_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X21 VPWR RESET_B a_705_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X22 a_1224_74# a_500_392# a_1465_471# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X23 VPWR a_1224_74# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X24 a_1224_74# a_319_392# a_1434_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X25 VGND RESET_B a_1624_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X26 a_2026_424# a_1224_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X27 VGND a_319_392# a_500_392# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X28 a_1434_74# a_1482_48# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X29 VPWR a_2026_424# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X30 a_832_119# a_841_401# a_910_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X31 a_38_78# a_319_392# a_705_463# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X32 VPWR D a_38_78# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X33 a_841_401# a_500_392# a_1224_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
.ends

sky130_fd_sc_ls__dfrbp_2

not to scale


.subckt sky130_fd_sc_ls__dfrbp_2 CLK D RESET_B VGND VNB VPB VPWR Q Q_N
X0 a_156_74# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_70_74# a_728_331# a_298_294# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_818_418# a_728_331# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_1800_291# a_1586_149# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_298_294# RESET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_818_418# a_728_331# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 VPWR a_2363_352# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 VGND a_2363_352# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 a_1586_149# a_818_418# a_331_392# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 VGND a_1586_149# a_2363_352# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X10 Q a_2363_352# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 a_1499_149# a_1800_291# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 VGND CLK a_728_331# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 VPWR a_1586_149# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 VGND RESET_B a_1974_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X15 a_1755_389# a_1800_291# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X16 VGND a_1586_149# Q_N VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X17 a_70_74# D a_156_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 Q_N a_1586_149# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X19 VPWR a_331_392# a_683_485# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X20 a_1586_149# a_818_418# a_1755_389# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X21 a_1974_74# a_1586_149# a_1800_291# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X22 a_298_294# a_818_418# a_70_74# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X23 VPWR CLK a_728_331# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X24 VPWR a_1586_149# a_2363_352# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X25 Q a_2363_352# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X26 VGND a_298_294# a_331_392# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X27 a_70_74# RESET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X28 VPWR D a_70_74# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X29 a_298_294# a_818_418# a_614_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X30 VGND RESET_B a_536_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X31 Q_N a_1586_149# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X32 VPWR a_298_294# a_331_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X33 a_331_392# a_728_331# a_1586_149# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X34 a_536_81# a_331_392# a_614_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X35 VPWR RESET_B a_1800_291# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X36 a_1499_149# a_728_331# a_1586_149# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X37 a_683_485# a_728_331# a_298_294# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends