NAME

dfbbn from sky130_fd_sc_ls

DESCRIPTION

Delay flop, inverted set, inverted reset, inverted clock, complementary outputs.

FUNCTION

VERILOG

"sky130_fd_sc_ls__dfbbn"
/*
*/


`ifndef SKY130_FD_SC_LS__DFBBN_FUNCTIONAL_V
`define SKY130_FD_SC_LS__DFBBN_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dff_nsr/sky130_fd_sc_ls__udp_dff_nsr.v"

`celldefine
module sky130_fd_sc_ls__dfbbn (
    Q      ,
    Q_N    ,
    D      ,
    CLK_N  ,
    SET_B  ,
    RESET_B
);

    // Module ports
    output Q      ;
    output Q_N    ;
    input  D      ;
    input  CLK_N  ;
    input  SET_B  ;
    input  RESET_B;

    // Local signals
    wire RESET;
    wire SET  ;
    wire CLK  ;
    wire buf_Q;

    //                           Delay       Name  Output  Other arguments
    not                                      not0 (RESET , RESET_B           );
    not                                      not1 (SET   , SET_B             );
    not                                      not2 (CLK   , CLK_N             );
    sky130_fd_sc_ls__udp_dff$NSR `UNIT_DELAY dff0 (buf_Q , SET, RESET, CLK, D);
    buf                                      buf0 (Q     , buf_Q             );
    not                                      not3 (Q_N   , buf_Q             );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__DFBBN_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__dfbbn_1

not to scale



.subckt sky130_fd_sc_ls__dfbbn_1 CLK_N D RESET_B SET_B VGND VNB VPB VPWR Q Q_N
X0 a_1483_508# a_1534_446# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 VGND a_474_405# a_523_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_1297_424# a_200_74# a_1349_114# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X3 a_1349_114# a_27_74# a_1483_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_595_119# a_200_74# a_311_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_978_357# RESET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X6 VGND a_27_74# a_200_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_867_119# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X8 a_1611_140# a_1534_446# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_867_119# a_595_119# a_474_405# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X10 a_2412_410# a_1534_446# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X11 VGND a_2412_410# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 a_537_503# a_200_74# a_595_119# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X13 a_474_405# a_595_119# a_933_424# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X14 VPWR a_978_357# a_1917_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X15 a_2412_410# a_1534_446# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 a_27_74# CLK_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X17 a_1534_446# SET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X18 a_311_119# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X19 a_933_424# a_978_357# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X20 VGND a_474_405# a_1254_119# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X21 a_1917_392# a_1349_114# a_1534_446# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X22 a_1349_114# a_200_74# a_1611_140# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X23 VPWR a_474_405# a_1297_424# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X24 VPWR SET_B a_474_405# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X25 a_1254_119# a_27_74# a_1349_114# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X26 VGND a_1534_446# Q_N VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X27 a_595_119# a_27_74# a_311_119# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X28 a_1534_446# a_1349_114# a_1818_76# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X29 VPWR a_474_405# a_537_503# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X30 VPWR a_2412_410# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X31 VPWR a_27_74# a_200_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X32 a_27_74# CLK_N VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X33 a_978_357# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X34 a_311_119# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X35 a_523_119# a_27_74# a_595_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X36 VPWR a_1534_446# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X37 VGND SET_B a_1818_76# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X38 a_474_405# a_978_357# a_867_119# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X39 a_1818_76# a_978_357# a_1534_446# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__dfbbn_2

not to scale


.subckt sky130_fd_sc_ls__dfbbn_2 CLK_N D RESET_B SET_B VGND VNB VPB VPWR Q Q_N
X0 VPWR a_2516_368# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 VGND a_2516_368# Q VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 a_601_119# a_200_74# a_311_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_867_125# a_601_119# a_473_405# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X4 a_867_125# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X5 VPWR a_975_322# a_1931_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X6 a_1555_410# a_1335_112# a_1832_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 VGND a_27_74# a_200_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 a_473_405# a_601_119# a_930_424# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X9 a_975_322# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 Q a_2516_368# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 a_536_503# a_200_74# a_601_119# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X12 VGND a_473_405# a_1240_125# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X13 a_529_119# a_27_74# a_601_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 a_1335_112# a_200_74# a_1640_138# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X15 a_1555_410# SET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X16 Q_N a_1555_410# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X17 a_27_74# CLK_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X18 a_311_119# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X19 a_975_322# RESET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X20 a_1931_392# a_1335_112# a_1555_410# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X21 Q a_2516_368# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X22 a_1640_138# a_1555_410# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X23 a_930_424# a_975_322# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X24 VPWR SET_B a_473_405# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X25 a_2516_368# a_1555_410# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X26 VGND a_1555_410# Q_N VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X27 VPWR a_473_405# a_536_503# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X28 a_601_119# a_27_74# a_311_119# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X29 a_1504_508# a_1555_410# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X30 a_1832_74# a_975_322# a_1555_410# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X31 a_1240_125# a_27_74# a_1335_112# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X32 VPWR a_27_74# a_200_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X33 a_1312_424# a_200_74# a_1335_112# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X34 a_1335_112# a_27_74# a_1504_508# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X35 a_27_74# CLK_N VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X36 VPWR a_473_405# a_1312_424# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X37 a_2516_368# a_1555_410# VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X38 a_311_119# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X39 a_473_405# a_975_322# a_867_125# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X40 VPWR a_1555_410# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X41 Q_N a_1555_410# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X42 VGND SET_B a_1832_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X43 VGND a_473_405# a_529_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends