NAME

decaphe from sky130_fd_sc_ls

DESCRIPTION

Shielded Decoupling capacitance filler.

FUNCTION

VERILOG

"sky130_fd_sc_ls__decaphe"
/*
*/


`ifndef SKY130_FD_SC_LS__DECAPHE_FUNCTIONAL_V
`define SKY130_FD_SC_LS__DECAPHE_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__decaphe ();
     // No contents.
endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__DECAPHE_FUNCTIONAL_V

SPICE

sky130_fd_sc_ls__decaphe_2

not to scale


.subckt sky130_fd_sc_ls__decaphe_2 VGND VNB VPB VPWR
X0 VPWR VGND VPWR VPB sky130_fd_pr__pfet_01v8 w=1.255e+06u l=170000u
.ends

sky130_fd_sc_ls__decaphe_3

not to scale


.subckt sky130_fd_sc_ls__decaphe_3 VGND VNB VPB VPWR
X0 VGND VPWR VGND VNB sky130_fd_pr__nfet_01v8 w=775000u l=650000u
X1 VPWR VGND VPWR VPB sky130_fd_pr__pfet_01v8 w=1.255e+06u l=650000u
.ends

sky130_fd_sc_ls__decaphe_4

not to scale


.subckt sky130_fd_sc_ls__decaphe_4 VGND VNB VPB VPWR
X0 VGND VPWR VGND VNB sky130_fd_pr__nfet_01v8 w=775000u l=1.13e+06u
X1 VPWR VGND VPWR VPB sky130_fd_pr__pfet_01v8 w=1.255e+06u l=1.13e+06u
.ends

sky130_fd_sc_ls__decaphe_6

not to scale


.subckt sky130_fd_sc_ls__decaphe_6 VGND VNB VPB VPWR
X0 VGND VPWR VGND VNB sky130_fd_pr__nfet_01v8 w=775000u l=2.09e+06u
X1 VPWR VGND VPWR VPB sky130_fd_pr__pfet_01v8 w=1.255e+06u l=2.09e+06u
.ends

sky130_fd_sc_ls__decaphe_8

not to scale


.subckt sky130_fd_sc_ls__decaphe_8 VGND VNB VPB VPWR
X0 VGND VPWR VGND VNB sky130_fd_pr__nfet_01v8 w=775000u l=3.05e+06u
X1 VPWR VGND VPWR VPB sky130_fd_pr__pfet_01v8 w=1.255e+06u l=3.05e+06u
.ends