NAME

clkbuf from sky130_fd_sc_ls

DESCRIPTION

Clock tree buffer.

FUNCTION

VERILOG

"sky130_fd_sc_ls__clkbuf"
/*
*/


`ifndef SKY130_FD_SC_LS__CLKBUF_FUNCTIONAL_V
`define SKY130_FD_SC_LS__CLKBUF_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__clkbuf (
    X,
    A
);

    // Module ports
    output X;
    input  A;

    // Local signals
    wire buf0_out_X;

    //  Name  Output      Other arguments
    buf buf0 (buf0_out_X, A              );
    buf buf1 (X         , buf0_out_X     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__CLKBUF_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__clkbuf_1

not to scale



.subckt sky130_fd_sc_ls__clkbuf_1 A VGND VNB VPB VPWR X
X0 a_27_74# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 VPWR a_27_74# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 a_27_74# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VGND a_27_74# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_ls__clkbuf_2

not to scale


.subckt sky130_fd_sc_ls__clkbuf_2 A VGND VNB VPB VPWR X
X0 VPWR A a_43_192# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 X a_43_192# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VPWR a_43_192# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 X a_43_192# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 VGND a_43_192# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VGND A a_43_192# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_ls__clkbuf_4

not to scale


.subckt sky130_fd_sc_ls__clkbuf_4 A VGND VNB VPB VPWR X
X0 X a_83_270# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VPWR a_83_270# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 X a_83_270# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VPWR a_83_270# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 VGND a_83_270# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 X a_83_270# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 X a_83_270# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 VGND A a_83_270# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VPWR A a_83_270# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 VGND a_83_270# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_ls__clkbuf_8

not to scale


.subckt sky130_fd_sc_ls__clkbuf_8 A VGND VNB VPB VPWR X
X0 X a_125_368# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 VPWR a_125_368# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 X a_125_368# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VPWR A a_125_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 VPWR a_125_368# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 VGND A a_125_368# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VGND a_125_368# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VPWR a_125_368# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 X a_125_368# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VGND a_125_368# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 VGND a_125_368# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 X a_125_368# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 a_125_368# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 VPWR a_125_368# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 VGND a_125_368# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X15 X a_125_368# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X16 a_125_368# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 X a_125_368# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 X a_125_368# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X19 X a_125_368# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__clkbuf_16

not to scale


.subckt sky130_fd_sc_ls__clkbuf_16 A VGND VNB VPB VPWR X
X0 VGND a_114_74# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VGND A a_114_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 X a_114_74# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VGND a_114_74# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VPWR a_114_74# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 X a_114_74# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 X a_114_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 VGND a_114_74# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VPWR a_114_74# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 X a_114_74# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 VPWR a_114_74# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 a_114_74# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 VPWR a_114_74# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 VPWR A a_114_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 VPWR a_114_74# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X15 a_114_74# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 VGND a_114_74# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 X a_114_74# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 X a_114_74# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 VPWR a_114_74# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X20 X a_114_74# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X21 X a_114_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X22 VGND a_114_74# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X23 VPWR A a_114_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X24 VPWR a_114_74# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X25 X a_114_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X26 X a_114_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X27 X a_114_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X28 X a_114_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X29 a_114_74# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X30 VGND a_114_74# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X31 X a_114_74# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X32 X a_114_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X33 VGND a_114_74# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X34 X a_114_74# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X35 X a_114_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X36 VGND A a_114_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X37 a_114_74# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X38 VGND a_114_74# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X39 VPWR a_114_74# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends