NAME

bufinv from sky130_fd_sc_ls

DESCRIPTION

Buffer followed by inverter.

FUNCTION

VERILOG

"sky130_fd_sc_ls__bufinv"
/*
*/


`ifndef SKY130_FD_SC_LS__BUFINV_FUNCTIONAL_V
`define SKY130_FD_SC_LS__BUFINV_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__bufinv (
    Y,
    A
);

    // Module ports
    output Y;
    input  A;

    // Local signals
    wire not0_out_Y;

    //  Name  Output      Other arguments
    not not0 (not0_out_Y, A              );
    buf buf0 (Y         , not0_out_Y     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__BUFINV_FUNCTIONAL_V

SPICE

sky130_fd_sc_ls__bufinv_8

not to scale


.subckt sky130_fd_sc_ls__bufinv_8 A VGND VNB VPB VPWR Y
X0 Y a_183_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 VGND a_27_368# a_183_48# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 VPWR a_27_368# a_183_48# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 VGND a_183_48# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 a_183_48# a_27_368# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 VPWR a_183_48# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 VGND a_183_48# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_183_48# a_27_368# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 VPWR a_183_48# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 a_27_368# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 VPWR a_183_48# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 VPWR a_27_368# a_183_48# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X12 VPWR a_183_48# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 VGND a_183_48# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 VGND a_183_48# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 Y a_183_48# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 VGND a_27_368# a_183_48# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X17 Y a_183_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X18 Y a_183_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X19 Y a_183_48# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X20 Y a_183_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X21 a_27_368# A VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X22 Y a_183_48# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X23 Y a_183_48# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__bufinv_16

not to scale


.subckt sky130_fd_sc_ls__bufinv_16 A VGND VNB VPB VPWR Y
X0 VPWR a_384_74# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 VPWR a_384_74# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 VGND a_27_74# a_384_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 VPWR a_27_74# a_384_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 Y a_384_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 VPWR a_384_74# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 a_384_74# a_27_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 Y a_384_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 VPWR a_27_74# a_384_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 VGND a_384_74# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 VGND a_384_74# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 Y a_384_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 Y a_384_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 Y a_384_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 a_27_74# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X15 Y a_384_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X16 VGND a_384_74# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X17 VGND A a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 Y a_384_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X19 VGND a_384_74# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X20 a_384_74# a_27_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X21 VGND a_384_74# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X22 Y a_384_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X23 VPWR a_384_74# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X24 VPWR a_384_74# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X25 a_27_74# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X26 a_384_74# a_27_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X27 Y a_384_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X28 a_384_74# a_27_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X29 Y a_384_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X30 a_384_74# a_27_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X31 a_27_74# A VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X32 VGND a_27_74# a_384_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X33 VGND a_384_74# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X34 VPWR a_27_74# a_384_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X35 a_384_74# a_27_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X36 VGND a_27_74# a_384_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X37 VGND a_384_74# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X38 Y a_384_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X39 Y a_384_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X40 VPWR a_384_74# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X41 a_27_74# A VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X42 VGND a_384_74# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X43 Y a_384_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X44 VPWR A a_27_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X45 Y a_384_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X46 VPWR a_384_74# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X47 Y a_384_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X48 VPWR a_384_74# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X49 Y a_384_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends