NAME

buf from sky130_fd_sc_ls

DESCRIPTION

Buffer.

FUNCTION

VERILOG

"sky130_fd_sc_ls__buf"
/*
*/


`ifndef SKY130_FD_SC_LS__BUF_FUNCTIONAL_V
`define SKY130_FD_SC_LS__BUF_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__buf (
    X,
    A
);

    // Module ports
    output X;
    input  A;

    // Local signals
    wire buf0_out_X;

    //  Name  Output      Other arguments
    buf buf0 (buf0_out_X, A              );
    buf buf1 (X         , buf0_out_X     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__BUF_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__buf_1

not to scale



.subckt sky130_fd_sc_ls__buf_1 A VGND VNB VPB VPWR X
X0 a_27_164# A VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X1 VPWR a_27_164# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 a_27_164# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X3 VGND a_27_164# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__buf_2

not to scale


.subckt sky130_fd_sc_ls__buf_2 A VGND VNB VPB VPWR X
X0 VGND a_21_260# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 X a_21_260# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 X a_21_260# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 VGND A a_21_260# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 VPWR a_21_260# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 VPWR A a_21_260# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
.ends

sky130_fd_sc_ls__buf_4

not to scale


.subckt sky130_fd_sc_ls__buf_4 A VGND VNB VPB VPWR X
X0 VGND a_86_260# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 a_86_260# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X2 X a_86_260# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 VPWR a_86_260# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 VGND a_86_260# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 VPWR a_86_260# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 VPWR A a_86_260# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X7 X a_86_260# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 X a_86_260# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 VGND A a_86_260# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 X a_86_260# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__buf_8

not to scale


.subckt sky130_fd_sc_ls__buf_8 A VGND VNB VPB VPWR X
X0 X a_27_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 VPWR a_27_74# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 VGND a_27_74# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_27_74# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 X a_27_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 VPWR a_27_74# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 a_27_74# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 VGND A a_27_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 a_27_74# A VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 VGND a_27_74# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 X a_27_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 VGND a_27_74# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 X a_27_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 X a_27_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 VPWR a_27_74# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X15 X a_27_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X16 a_27_74# A VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X17 VPWR A a_27_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X18 X a_27_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X19 VPWR a_27_74# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X20 VGND a_27_74# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X21 X a_27_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__buf_16

not to scale


.subckt sky130_fd_sc_ls__buf_16 A VGND VNB VPB VPWR X
X0 VGND a_83_260# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 VGND A a_83_260# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 a_83_260# A VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 X a_83_260# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 VGND a_83_260# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 a_83_260# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 a_83_260# A VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 VPWR a_83_260# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 X a_83_260# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 VPWR A a_83_260# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 X a_83_260# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 VPWR a_83_260# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X12 X a_83_260# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 VPWR A a_83_260# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 X a_83_260# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 X a_83_260# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X16 X a_83_260# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X17 VGND a_83_260# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 VPWR A a_83_260# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X19 X a_83_260# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X20 X a_83_260# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X21 VPWR a_83_260# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X22 VGND A a_83_260# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X23 VGND a_83_260# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X24 X a_83_260# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X25 VGND a_83_260# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X26 VPWR a_83_260# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X27 X a_83_260# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X28 X a_83_260# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X29 VGND A a_83_260# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X30 X a_83_260# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X31 a_83_260# A VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X32 VPWR a_83_260# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X33 a_83_260# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X34 VGND a_83_260# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X35 VPWR a_83_260# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X36 X a_83_260# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X37 X a_83_260# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X38 a_83_260# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X39 VPWR a_83_260# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X40 VPWR a_83_260# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X41 VGND a_83_260# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X42 X a_83_260# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X43 VGND a_83_260# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends