NAME

and4bb from sky130_fd_sc_ls

DESCRIPTION

4-input AND, first two inputs inverted.

FUNCTION

VERILOG

"sky130_fd_sc_ls__and4bb"
/*
*/


`ifndef SKY130_FD_SC_LS__AND4BB_FUNCTIONAL_V
`define SKY130_FD_SC_LS__AND4BB_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__and4bb (
    X  ,
    A_N,
    B_N,
    C  ,
    D
);

    // Module ports
    output X  ;
    input  A_N;
    input  B_N;
    input  C  ;
    input  D  ;

    // Local signals
    wire nor0_out  ;
    wire and0_out_X;

    //  Name  Output      Other arguments
    nor nor0 (nor0_out  , A_N, B_N       );
    and and0 (and0_out_X, nor0_out, C, D );
    buf buf0 (X         , and0_out_X     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__AND4BB_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__and4bb_1

not to scale



.subckt sky130_fd_sc_ls__and4bb_1 A_N B_N C D VGND VNB VPB VPWR X
X0 VGND B_N a_503_48# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X1 VPWR a_179_48# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 a_455_74# a_503_48# a_533_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 a_179_48# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X4 a_27_74# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X5 VGND a_179_48# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 VPWR B_N a_503_48# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X7 a_533_74# C a_647_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X8 a_179_48# a_27_74# a_455_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X9 VPWR a_27_74# a_179_48# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X10 a_647_74# D VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X11 a_27_74# A_N VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X12 a_179_48# a_503_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X13 VPWR C a_179_48# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
.ends

sky130_fd_sc_ls__and4bb_2

not to scale


.subckt sky130_fd_sc_ls__and4bb_2 A_N B_N C D VGND VNB VPB VPWR X
X0 X a_225_82# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 VPWR C a_225_82# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X2 VGND B_N a_354_252# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X3 VGND a_225_82# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 X a_225_82# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 a_27_74# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X6 a_225_82# a_354_252# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 a_312_82# a_354_252# a_390_82# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 VPWR a_225_82# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 a_225_82# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X10 VPWR B_N a_354_252# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X11 a_498_82# D VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 VPWR a_27_74# a_225_82# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X13 a_27_74# A_N VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X14 a_390_82# C a_498_82# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 a_225_82# a_27_74# a_312_82# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__and4bb_4

not to scale


.subckt sky130_fd_sc_ls__and4bb_4 A_N B_N C D VGND VNB VPB VPWR X
X0 a_472_388# a_200_74# a_412_140# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X1 VGND A_N a_200_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X2 X a_472_388# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 VPWR a_27_74# a_472_388# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X4 a_685_140# C a_882_137# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X5 a_412_140# a_200_74# a_472_388# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 a_472_388# a_200_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 a_412_140# a_27_74# a_685_140# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X8 VPWR a_200_74# a_472_388# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X9 VGND D a_882_137# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X10 VPWR a_472_388# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 a_882_137# D VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X12 a_472_388# C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X13 VPWR a_472_388# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 VPWR A_N a_200_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X15 VGND a_472_388# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 a_685_140# a_27_74# a_412_140# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X17 VGND a_472_388# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 a_27_74# B_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X19 a_27_74# B_N VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X20 X a_472_388# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X21 X a_472_388# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X22 a_472_388# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X23 X a_472_388# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X24 a_882_137# C a_685_140# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X25 a_472_388# a_27_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X26 VPWR C a_472_388# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X27 VPWR D a_472_388# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
.ends