NAME

and4b from sky130_fd_sc_ls

DESCRIPTION

4-input AND, first input inverted.

FUNCTION

VERILOG

"sky130_fd_sc_ls__and4b"
/*
*/


`ifndef SKY130_FD_SC_LS__AND4B_FUNCTIONAL_V
`define SKY130_FD_SC_LS__AND4B_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__and4b (
    X  ,
    A_N,
    B  ,
    C  ,
    D
);

    // Module ports
    output X  ;
    input  A_N;
    input  B  ;
    input  C  ;
    input  D  ;

    // Local signals
    wire not0_out  ;
    wire and0_out_X;

    //  Name  Output      Other arguments
    not not0 (not0_out  , A_N              );
    and and0 (and0_out_X, not0_out, B, C, D);
    buf buf0 (X         , and0_out_X       );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__AND4B_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__and4b_1

not to scale



.subckt sky130_fd_sc_ls__and4b_1 A_N B C D VGND VNB VPB VPWR X
X0 a_353_124# B a_448_139# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X1 VPWR C a_226_424# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X2 a_226_424# a_27_74# a_353_124# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 VGND a_226_424# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 a_226_424# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X5 VPWR a_27_74# a_226_424# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X6 a_526_139# D VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X7 a_27_74# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X8 a_27_74# A_N VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X9 a_226_424# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X10 VPWR a_226_424# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 a_448_139# C a_526_139# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
.ends

sky130_fd_sc_ls__and4b_2

not to scale


.subckt sky130_fd_sc_ls__and4b_2 A_N B C D VGND VNB VPB VPWR X
X0 a_459_74# C a_537_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 VPWR B a_186_48# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X2 a_537_74# B a_645_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 VPWR D a_186_48# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X4 a_186_48# C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X5 VGND a_186_48# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 X a_186_48# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_645_74# a_27_112# a_186_48# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 VPWR a_186_48# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 a_186_48# a_27_112# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X10 a_27_112# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X11 VGND D a_459_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 X a_186_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 a_27_112# A_N VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
.ends

sky130_fd_sc_ls__and4b_4

not to scale


.subckt sky130_fd_sc_ls__and4b_4 A_N B C D VGND VNB VPB VPWR X
X0 X a_199_294# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 X a_199_294# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 a_1136_125# a_27_368# a_199_294# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 VPWR a_199_294# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 VPWR C a_199_294# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X5 a_664_125# C a_751_125# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 VPWR D a_199_294# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 a_199_294# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X8 VGND a_199_294# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 VGND a_199_294# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 a_664_125# B a_1136_125# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X11 a_199_294# a_27_368# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X12 X a_199_294# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 X a_199_294# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 VPWR B a_199_294# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X15 VPWR a_199_294# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X16 a_199_294# C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X17 a_27_368# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X18 a_751_125# C a_664_125# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X19 a_1136_125# B a_664_125# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X20 a_199_294# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X21 VGND D a_751_125# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X22 a_27_368# A_N VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X23 a_751_125# D VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X24 VPWR a_27_368# a_199_294# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X25 a_199_294# a_27_368# a_1136_125# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
.ends