NAME

and4 from sky130_fd_sc_ls

DESCRIPTION

4-input AND.

FUNCTION

VERILOG

"sky130_fd_sc_ls__and4"
/*
*/


`ifndef SKY130_FD_SC_LS__AND4_FUNCTIONAL_V
`define SKY130_FD_SC_LS__AND4_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__and4 (
    X,
    A,
    B,
    C,
    D
);

    // Module ports
    output X;
    input  A;
    input  B;
    input  C;
    input  D;

    // Local signals
    wire and0_out_X;

    //  Name  Output      Other arguments
    and and0 (and0_out_X, A, B, C, D     );
    buf buf0 (X         , and0_out_X     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__AND4_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__and4_1

not to scale



.subckt sky130_fd_sc_ls__and4_1 A B C D VGND VNB VPB VPWR X
X0 a_96_74# A a_179_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X1 VPWR a_96_74# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 a_257_74# C a_335_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 VPWR A a_96_74# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X4 VGND a_96_74# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 VPWR C a_96_74# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X6 a_96_74# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X7 a_335_74# D VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X8 a_179_74# B a_257_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X9 a_96_74# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
.ends

sky130_fd_sc_ls__and4_2

not to scale


.subckt sky130_fd_sc_ls__and4_2 A B C D VGND VNB VPB VPWR X
X0 a_56_74# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 X a_56_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 VPWR a_56_74# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 a_221_74# C a_335_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 a_56_74# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X5 a_56_74# A a_143_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 VPWR A a_56_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 a_143_74# B a_221_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 VPWR C a_56_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X9 X a_56_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 a_335_74# D VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 VGND a_56_74# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__and4_4

not to scale


.subckt sky130_fd_sc_ls__and4_4 A B C D VGND VNB VPB VPWR X
X0 a_116_392# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 a_116_392# A a_119_119# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X2 VPWR a_116_392# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 a_32_119# C a_463_119# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 VPWR C a_116_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X5 a_32_119# B a_119_119# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 VGND a_116_392# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 VPWR A a_116_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X8 X a_116_392# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 VPWR D a_116_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X10 X a_116_392# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 X a_116_392# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X12 VPWR B a_116_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X13 VPWR a_116_392# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 a_116_392# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X15 a_116_392# C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X16 VGND D a_463_119# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X17 X a_116_392# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 a_119_119# A a_116_392# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X19 a_119_119# B a_32_119# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X20 a_463_119# D VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X21 VGND a_116_392# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X22 a_116_392# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X23 a_463_119# C a_32_119# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
.ends