NAME

and3b from sky130_fd_sc_ls

DESCRIPTION

3-input AND, first input inverted.

FUNCTION

VERILOG

"sky130_fd_sc_ls__and3b"
/*
*/


`ifndef SKY130_FD_SC_LS__AND3B_FUNCTIONAL_V
`define SKY130_FD_SC_LS__AND3B_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__and3b (
    X  ,
    A_N,
    B  ,
    C
);

    // Module ports
    output X  ;
    input  A_N;
    input  B  ;
    input  C  ;

    // Local signals
    wire not0_out  ;
    wire and0_out_X;

    //  Name  Output      Other arguments
    not not0 (not0_out  , A_N            );
    and and0 (and0_out_X, C, not0_out, B );
    buf buf0 (X         , and0_out_X     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__AND3B_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__and3b_1

not to scale



.subckt sky130_fd_sc_ls__and3b_1 A_N B C VGND VNB VPB VPWR X
X0 a_431_94# C VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X1 a_266_94# a_114_74# a_353_94# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X2 VPWR B a_266_94# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X3 a_266_94# a_114_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X4 VGND a_266_94# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 VPWR a_266_94# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 a_353_94# B a_431_94# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X7 VPWR A_N a_114_74# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X8 a_266_94# C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X9 VGND A_N a_114_74# VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
.ends

sky130_fd_sc_ls__and3b_2

not to scale


.subckt sky130_fd_sc_ls__and3b_2 A_N B C VGND VNB VPB VPWR X
X0 VPWR B a_284_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 a_454_74# C VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 a_284_368# a_27_88# a_376_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 VPWR a_284_368# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 VGND a_284_368# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 a_284_368# C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X6 a_27_88# A_N VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X7 X a_284_368# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 a_27_88# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X9 a_284_368# a_27_88# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X10 X a_284_368# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 a_376_74# B a_454_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__and3b_4

not to scale


.subckt sky130_fd_sc_ls__and3b_4 A_N B C VGND VNB VPB VPWR X
X0 X a_298_368# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 a_239_98# a_27_74# a_298_368# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X2 a_498_98# B a_239_98# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 VGND C a_498_98# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 a_298_368# a_27_74# a_239_98# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X5 a_498_98# C VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 VPWR a_298_368# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 a_298_368# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X8 a_27_74# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X9 VPWR a_27_74# a_298_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X10 VPWR a_298_368# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 VPWR C a_298_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X12 a_239_98# B a_498_98# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X13 X a_298_368# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 VGND a_298_368# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 a_298_368# a_27_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X16 VPWR B a_298_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X17 a_27_74# A_N VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X18 X a_298_368# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X19 a_298_368# C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X20 VGND a_298_368# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X21 X a_298_368# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends