NAME

and2 from sky130_fd_sc_ls

DESCRIPTION

2-input AND.

FUNCTION

VERILOG

"sky130_fd_sc_ls__and2"
/*
*/


`ifndef SKY130_FD_SC_LS__AND2_FUNCTIONAL_V
`define SKY130_FD_SC_LS__AND2_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__and2 (
    X,
    A,
    B
);

    // Module ports
    output X;
    input  A;
    input  B;

    // Local signals
    wire and0_out_X;

    //  Name  Output      Other arguments
    and and0 (and0_out_X, A, B           );
    buf buf0 (X         , and0_out_X     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__AND2_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__and2_1

not to scale



.subckt sky130_fd_sc_ls__and2_1 A B VGND VNB VPB VPWR X
X0 a_56_136# A a_143_136# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X1 a_56_136# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X2 VGND a_56_136# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_143_136# B VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 VPWR a_56_136# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 VPWR A a_56_136# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
.ends

sky130_fd_sc_ls__and2_2

not to scale


.subckt sky130_fd_sc_ls__and2_2 A B VGND VNB VPB VPWR X
X0 VPWR a_31_74# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 X a_31_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 VPWR A a_31_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X3 a_118_74# B VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 a_31_74# A a_118_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 VGND a_31_74# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 X a_31_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_31_74# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
.ends

sky130_fd_sc_ls__and2_4

not to scale


.subckt sky130_fd_sc_ls__and2_4 A B VGND VNB VPB VPWR X
X0 a_83_269# A a_504_119# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X1 X a_83_269# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 X a_83_269# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 VPWR a_83_269# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 VGND a_83_269# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 VPWR A a_83_269# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X6 VPWR a_83_269# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 VGND B a_504_119# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X8 a_504_119# B VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X9 a_83_269# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X10 VGND a_83_269# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 VPWR B a_83_269# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X12 X a_83_269# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 X a_83_269# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 a_83_269# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X15 a_504_119# A a_83_269# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
.ends