NAME

a41o from sky130_fd_sc_ls

DESCRIPTION

4-input AND into first input of 2-input OR.

FUNCTION

X = ((A1 & A2 & A3 & A4) | B1)

VERILOG

"sky130_fd_sc_ls__a41o"
/*
*/


`ifndef SKY130_FD_SC_LS__A41O_FUNCTIONAL_V
`define SKY130_FD_SC_LS__A41O_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__a41o (
    X ,
    A1,
    A2,
    A3,
    A4,
    B1
);

    // Module ports
    output X ;
    input  A1;
    input  A2;
    input  A3;
    input  A4;
    input  B1;

    // Local signals
    wire and0_out ;
    wire or0_out_X;

    //  Name  Output     Other arguments
    and and0 (and0_out , A1, A2, A3, A4 );
    or  or0  (or0_out_X, and0_out, B1   );
    buf buf0 (X        , or0_out_X      );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__A41O_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__a41o_1

not to scale



.subckt sky130_fd_sc_ls__a41o_1 A1 A2 A3 A4 B1 VGND VNB VPB VPWR X
X0 a_449_74# A2 a_543_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 VPWR A2 a_354_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X2 X a_83_244# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 VGND B1 a_83_244# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 a_543_74# A3 a_657_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 a_83_244# B1 a_354_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X6 X a_83_244# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 a_657_74# A4 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 a_83_244# A1 a_449_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 a_354_392# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X10 a_354_392# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X11 VPWR A4 a_354_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
.ends

sky130_fd_sc_ls__a41o_2

not to scale


.subckt sky130_fd_sc_ls__a41o_2 A1 A2 A3 A4 B1 VGND VNB VPB VPWR X
X0 a_313_74# A1 a_441_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 X a_441_74# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 VPWR A1 a_27_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X3 a_441_74# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 VPWR A3 a_27_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X5 VGND A4 a_121_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 a_27_392# A4 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 a_121_74# A3 a_199_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 X a_441_74# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 VPWR a_441_74# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 a_199_74# A2 a_313_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 a_27_392# B1 a_441_74# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X12 VGND a_441_74# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 a_27_392# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
.ends

sky130_fd_sc_ls__a41o_4

not to scale


.subckt sky130_fd_sc_ls__a41o_4 A1 A2 A3 A4 B1 VGND VNB VPB VPWR X
X0 VPWR a_113_98# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 a_1205_74# A3 a_1010_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 VPWR a_113_98# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 a_113_98# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 a_27_392# A4 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X5 a_1010_74# A2 a_751_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 VPWR A3 a_27_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 a_27_392# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X8 a_1010_74# A3 a_1205_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 VPWR A1 a_27_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X10 a_113_98# A1 a_751_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 a_751_74# A2 a_1010_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 a_1205_74# A4 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 X a_113_98# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 a_27_392# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X15 VGND B1 a_113_98# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 X a_113_98# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X17 VGND a_113_98# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 a_27_392# B1 a_113_98# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X19 X a_113_98# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X20 VGND a_113_98# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X21 VGND A4 a_1205_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X22 X a_113_98# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X23 a_113_98# B1 a_27_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X24 VPWR A4 a_27_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X25 a_27_392# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X26 VPWR A2 a_27_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X27 a_751_74# A1 a_113_98# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends