NAME

a32o from sky130_fd_sc_ls

DESCRIPTION

3-input AND into first input, and 2-input AND into 2nd input of 2-input OR.

FUNCTION

X = ((A1 & A2 & A3) | (B1 & B2))

VERILOG

"sky130_fd_sc_ls__a32o"
/*
*/


`ifndef SKY130_FD_SC_LS__A32O_FUNCTIONAL_V
`define SKY130_FD_SC_LS__A32O_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__a32o (
    X ,
    A1,
    A2,
    A3,
    B1,
    B2
);

    // Module ports
    output X ;
    input  A1;
    input  A2;
    input  A3;
    input  B1;
    input  B2;

    // Local signals
    wire and0_out ;
    wire and1_out ;
    wire or0_out_X;

    //  Name  Output     Other arguments
    and and0 (and0_out , A3, A1, A2        );
    and and1 (and1_out , B1, B2            );
    or  or0  (or0_out_X, and1_out, and0_out);
    buf buf0 (X        , or0_out_X         );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__A32O_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__a32o_1

not to scale



.subckt sky130_fd_sc_ls__a32o_1 A1 A2 A3 B1 B2 VGND VNB VPB VPWR X
X0 a_84_48# B1 a_601_94# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X1 a_244_368# B1 a_84_48# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X2 a_84_48# B2 a_244_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X3 a_259_94# A2 a_337_94# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 VPWR A3 a_244_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X5 a_337_94# A1 a_84_48# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 X a_84_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 VGND A3 a_259_94# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X8 a_244_368# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X9 VPWR A1 a_244_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X10 X a_84_48# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 a_601_94# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
.ends

sky130_fd_sc_ls__a32o_2

not to scale


.subckt sky130_fd_sc_ls__a32o_2 A1 A2 A3 B1 B2 VGND VNB VPB VPWR X
X0 VGND A3 a_355_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 a_45_264# B1 a_661_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 a_346_368# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X3 a_661_74# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 VPWR a_45_264# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 VPWR A1 a_346_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X6 X a_45_264# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 VPWR A3 a_346_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X8 a_355_74# A2 a_433_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 VGND a_45_264# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 X a_45_264# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 a_346_368# B1 a_45_264# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X12 a_45_264# B2 a_346_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X13 a_433_74# A1 a_45_264# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__a32o_4

not to scale


.subckt sky130_fd_sc_ls__a32o_4 A1 A2 A3 B1 B2 VGND VNB VPB VPWR X
X0 VPWR a_83_283# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 a_1079_122# A1 a_83_283# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X2 a_1079_122# A2 a_992_122# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 a_509_392# B2 a_83_283# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X4 a_509_392# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X5 VPWR A3 a_509_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X6 a_83_283# A1 a_1079_122# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X7 a_509_392# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X8 VGND a_83_283# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 a_83_283# B1 a_509_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X10 a_83_283# B1 a_587_110# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X11 VPWR a_83_283# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X12 VGND B2 a_587_110# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X13 X a_83_283# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 VPWR A2 a_509_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X15 VPWR A1 a_509_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X16 VGND a_83_283# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X17 X a_83_283# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 a_509_392# B1 a_83_283# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X19 a_992_122# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X20 a_587_110# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X21 a_992_122# A2 a_1079_122# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X22 a_587_110# B1 a_83_283# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X23 X a_83_283# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X24 X a_83_283# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X25 a_83_283# B2 a_509_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X26 a_509_392# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X27 VGND A3 a_992_122# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
.ends