NAME

a31oi from sky130_fd_sc_ls

DESCRIPTION

3-input AND into first input of 2-input NOR.

FUNCTION

Y = !((A1 & A2 & A3) | B1)

VERILOG

"sky130_fd_sc_ls__a31oi"
/*
*/


`ifndef SKY130_FD_SC_LS__A31OI_FUNCTIONAL_V
`define SKY130_FD_SC_LS__A31OI_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__a31oi (
    Y ,
    A1,
    A2,
    A3,
    B1
);

    // Module ports
    output Y ;
    input  A1;
    input  A2;
    input  A3;
    input  B1;

    // Local signals
    wire and0_out  ;
    wire nor0_out_Y;

    //  Name  Output      Other arguments
    and and0 (and0_out  , A3, A1, A2     );
    nor nor0 (nor0_out_Y, B1, and0_out   );
    buf buf0 (Y         , nor0_out_Y     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__A31OI_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__a31oi_1

not to scale



.subckt sky130_fd_sc_ls__a31oi_1 A1 A2 A3 B1 VGND VNB VPB VPWR Y
X0 VPWR A1 a_136_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 a_136_368# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 VPWR A3 a_136_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 a_136_368# B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 a_223_74# A1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 VGND A3 a_145_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_145_74# A2 a_223_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__a31oi_2

not to scale


.subckt sky130_fd_sc_ls__a31oi_2 A1 A2 A3 B1 VGND VNB VPB VPWR Y
X0 a_27_368# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 Y A1 a_200_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 a_114_74# A2 a_200_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_200_74# A1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 VPWR A3 a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 Y B1 a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 a_27_368# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 a_27_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 a_114_74# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 a_27_368# B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 VGND A3 a_114_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 a_200_74# A2 a_114_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 VGND B1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 VPWR A2 a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 VPWR A1 a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__a31oi_4

not to scale


.subckt sky130_fd_sc_ls__a31oi_4 A1 A2 A3 B1 VGND VNB VPB VPWR Y
X0 a_30_74# A2 a_475_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 VPWR A2 a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 Y B1 a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 a_475_74# A2 a_30_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 a_27_368# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 Y B1 a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 a_27_368# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 a_27_368# B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 VGND A3 a_30_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 VGND A3 a_30_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 a_30_74# A2 a_475_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 a_27_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 VPWR A2 a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 Y A1 a_475_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 Y A1 a_475_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 a_27_368# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X17 a_475_74# A1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 a_27_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X19 VPWR A1 a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X20 VPWR A1 a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X21 a_30_74# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X22 a_27_368# B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X23 VPWR A3 a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X24 a_475_74# A2 a_30_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X25 a_475_74# A1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X26 VGND B1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X27 VPWR A3 a_27_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X28 a_27_368# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X29 a_30_74# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends