NAME

a31o from sky130_fd_sc_ls

DESCRIPTION

3-input AND into first input of 2-input OR.

FUNCTION

X = ((A1 & A2 & A3) | B1)

VERILOG

"sky130_fd_sc_ls__a31o"
/*
*/


`ifndef SKY130_FD_SC_LS__A31O_FUNCTIONAL_V
`define SKY130_FD_SC_LS__A31O_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__a31o (
    X ,
    A1,
    A2,
    A3,
    B1
);

    // Module ports
    output X ;
    input  A1;
    input  A2;
    input  A3;
    input  B1;

    // Local signals
    wire and0_out ;
    wire or0_out_X;

    //  Name  Output     Other arguments
    and and0 (and0_out , A3, A1, A2     );
    or  or0  (or0_out_X, and0_out, B1   );
    buf buf0 (X        , or0_out_X      );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__A31O_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__a31o_1

not to scale



.subckt sky130_fd_sc_ls__a31o_1 A1 A2 A3 B1 VGND VNB VPB VPWR X
X0 a_250_392# B1 a_81_270# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 VPWR A3 a_250_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X2 a_81_270# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 a_337_120# A1 a_81_270# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 X a_81_270# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 a_265_120# A2 a_337_120# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 a_250_392# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 VPWR A1 a_250_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X8 X a_81_270# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 VGND A3 a_265_120# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
.ends

sky130_fd_sc_ls__a31o_2

not to scale


.subckt sky130_fd_sc_ls__a31o_2 A1 A2 A3 B1 VGND VNB VPB VPWR X
X0 a_97_296# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 X a_97_296# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 a_362_368# B1 a_97_296# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X3 VPWR a_97_296# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 a_362_368# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X5 VGND a_97_296# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 a_371_74# A2 a_449_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_449_74# A1 a_97_296# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 VGND A3 a_371_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 X a_97_296# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 VPWR A1 a_362_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X11 VPWR A3 a_362_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
.ends

sky130_fd_sc_ls__a31o_4

not to scale


.subckt sky130_fd_sc_ls__a31o_4 A1 A2 A3 B1 VGND VNB VPB VPWR X
X0 a_1000_74# A2 a_775_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X1 a_775_74# A2 a_1000_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X2 a_529_392# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X3 X a_83_274# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 VGND B1 a_83_274# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X5 a_83_274# A1 a_775_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 VGND a_83_274# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 X a_83_274# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 a_83_274# B1 a_529_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X9 VPWR A3 a_529_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X10 a_1000_74# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X11 VPWR a_83_274# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X12 VGND A3 a_1000_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X13 a_83_274# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X14 a_529_392# B1 a_83_274# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X15 a_529_392# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X16 VPWR A1 a_529_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X17 X a_83_274# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X18 VPWR a_83_274# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X19 X a_83_274# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X20 VGND a_83_274# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X21 a_529_392# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X22 VPWR A2 a_529_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X23 a_775_74# A1 a_83_274# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
.ends