NAME

a311oi from sky130_fd_sc_ls

DESCRIPTION

3-input AND into first input of 3-input NOR.

FUNCTION

Y = !((A1 & A2 & A3) | B1 | C1)

VERILOG

"sky130_fd_sc_ls__a311oi"
/*
*/


`ifndef SKY130_FD_SC_LS__A311OI_FUNCTIONAL_V
`define SKY130_FD_SC_LS__A311OI_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__a311oi (
    Y ,
    A1,
    A2,
    A3,
    B1,
    C1
);

    // Module ports
    output Y ;
    input  A1;
    input  A2;
    input  A3;
    input  B1;
    input  C1;

    // Local signals
    wire and0_out  ;
    wire nor0_out_Y;

    //  Name  Output      Other arguments
    and and0 (and0_out  , A3, A1, A2      );
    nor nor0 (nor0_out_Y, and0_out, B1, C1);
    buf buf0 (Y         , nor0_out_Y      );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__A311OI_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__a311oi_1

not to scale



.subckt sky130_fd_sc_ls__a311oi_1 A1 A2 A3 B1 C1 VGND VNB VPB VPWR Y
X0 a_231_74# A1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 a_156_368# B1 a_462_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 VGND C1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 a_156_368# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 VGND A3 a_159_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 VPWR A1 a_156_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 a_159_74# A2 a_231_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 VPWR A3 a_156_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 a_462_368# C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__a311oi_2

not to scale


.subckt sky130_fd_sc_ls__a311oi_2 A1 A2 A3 B1 C1 VGND VNB VPB VPWR Y
X0 a_127_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 VPWR A2 a_127_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 VGND C1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_300_74# A2 a_45_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 VPWR A3 a_127_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 Y A1 a_300_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 a_127_368# B1 a_692_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 a_45_74# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 a_127_368# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 VPWR A1 a_127_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 a_300_74# A1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 a_127_368# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 a_692_368# C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 VGND A3 a_45_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 a_45_74# A2 a_300_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 a_692_368# B1 a_127_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X17 Y C1 a_692_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__a311oi_4

not to scale


.subckt sky130_fd_sc_ls__a311oi_4 A1 A2 A3 B1 C1 VGND VNB VPB VPWR Y
X0 Y C1 a_1213_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 VPWR A2 a_114_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 a_1213_368# C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 a_465_74# A1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 VGND B1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 Y C1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 VGND A3 a_34_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 VPWR A3 a_114_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 a_1213_368# C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 a_34_74# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 a_1213_368# B1 a_114_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 VPWR A1 a_114_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X12 a_465_74# A2 a_34_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 a_1213_368# B1 a_114_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 VPWR A3 a_114_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X15 a_114_368# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X16 VGND A3 a_34_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X17 Y A1 a_465_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 a_114_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X19 a_34_74# A2 a_465_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X20 a_465_74# A2 a_34_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X21 a_114_368# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X22 a_34_74# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X23 Y C1 a_1213_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X24 a_114_368# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X25 a_114_368# B1 a_1213_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X26 a_114_368# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X27 a_114_368# B1 a_1213_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X28 a_34_74# A2 a_465_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X29 Y A1 a_465_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X30 a_465_74# A1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X31 VGND C1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X32 a_114_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X33 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X34 VPWR A1 a_114_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X35 VPWR A2 a_114_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends