NAME

a311o from sky130_fd_sc_ls

DESCRIPTION

3-input AND into first input of 3-input OR.

FUNCTION

X = ((A1 & A2 & A3) | B1 | C1)

VERILOG

"sky130_fd_sc_ls__a311o"
/*
*/


`ifndef SKY130_FD_SC_LS__A311O_FUNCTIONAL_V
`define SKY130_FD_SC_LS__A311O_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__a311o (
    X ,
    A1,
    A2,
    A3,
    B1,
    C1
);

    // Module ports
    output X ;
    input  A1;
    input  A2;
    input  A3;
    input  B1;
    input  C1;

    // Local signals
    wire and0_out ;
    wire or0_out_X;

    //  Name  Output     Other arguments
    and and0 (and0_out , A3, A1, A2      );
    or  or0  (or0_out_X, and0_out, C1, B1);
    buf buf0 (X        , or0_out_X       );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__A311O_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__a311o_1

not to scale



.subckt sky130_fd_sc_ls__a311o_1 A1 A2 A3 B1 C1 VGND VNB VPB VPWR X
X0 X a_89_270# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 a_359_123# A1 a_89_270# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X2 a_258_392# B1 a_546_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X3 a_546_392# C1 a_89_270# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X4 VPWR A3 a_258_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X5 VGND C1 a_89_270# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 X a_89_270# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 VPWR A1 a_258_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X8 VGND A3 a_264_120# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X9 a_264_120# A2 a_359_123# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X10 a_258_392# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X11 a_89_270# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
.ends

sky130_fd_sc_ls__a311o_2

not to scale


.subckt sky130_fd_sc_ls__a311o_2 A1 A2 A3 B1 C1 VGND VNB VPB VPWR X
X0 X a_21_270# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 VGND A3 a_351_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 a_21_270# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_351_74# A2 a_423_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 VGND C1 a_21_270# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 X a_21_270# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 VPWR a_21_270# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 a_660_392# C1 a_21_270# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X8 VPWR A1 a_330_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X9 VPWR A3 a_330_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X10 a_330_392# B1 a_660_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X11 VGND a_21_270# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 a_423_74# A1 a_21_270# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 a_330_392# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
.ends

sky130_fd_sc_ls__a311o_4

not to scale


.subckt sky130_fd_sc_ls__a311o_4 A1 A2 A3 B1 C1 VGND VNB VPB VPWR X
X0 a_69_392# C1 a_154_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 X a_154_392# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 X a_154_392# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 a_334_392# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X4 a_1081_39# A2 a_888_105# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X5 a_1081_39# A1 a_154_392# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 VPWR A1 a_334_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 a_154_392# C1 a_69_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X8 a_154_392# A1 a_1081_39# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X9 VPWR a_154_392# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 VGND C1 a_154_392# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X11 VPWR A2 a_334_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X12 a_154_392# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X13 VPWR a_154_392# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 VGND a_154_392# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 VGND A3 a_888_105# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X16 X a_154_392# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X17 VGND a_154_392# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 VPWR A3 a_334_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X19 a_154_392# C1 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X20 a_334_392# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X21 a_888_105# A2 a_1081_39# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X22 a_334_392# B1 a_69_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X23 VGND B1 a_154_392# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X24 a_888_105# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X25 a_69_392# B1 a_334_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X26 a_334_392# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X27 X a_154_392# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends