NAME

a221oi from sky130_fd_sc_ls

DESCRIPTION

2-input AND into first two inputs of 3-input NOR.

FUNCTION

Y = !((A1 & A2) | (B1 & B2) | C1)

VERILOG

"sky130_fd_sc_ls__a221oi"
/*
*/


`ifndef SKY130_FD_SC_LS__A221OI_FUNCTIONAL_V
`define SKY130_FD_SC_LS__A221OI_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__a221oi (
    Y ,
    A1,
    A2,
    B1,
    B2,
    C1
);

    // Module ports
    output Y ;
    input  A1;
    input  A2;
    input  B1;
    input  B2;
    input  C1;

    // Local signals
    wire and0_out  ;
    wire and1_out  ;
    wire nor0_out_Y;

    //  Name  Output      Other arguments
    and and0 (and0_out  , B1, B2                );
    and and1 (and1_out  , A1, A2                );
    nor nor0 (nor0_out_Y, and0_out, C1, and1_out);
    buf buf0 (Y         , nor0_out_Y            );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__A221OI_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__a221oi_1

not to scale



.subckt sky130_fd_sc_ls__a221oi_1 A1 A2 B1 B2 C1 VGND VNB VPB VPWR Y
X0 Y C1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 VPWR A2 a_263_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 VGND B2 a_351_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_118_368# B1 a_263_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 a_263_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 a_567_74# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 a_351_74# B1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 Y C1 a_118_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 Y A1 a_567_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 a_263_368# B2 a_118_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__a221oi_2

not to scale


.subckt sky130_fd_sc_ls__a221oi_2 A1 A2 B1 B2 C1 VGND VNB VPB VPWR Y
X0 a_294_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 a_294_368# B1 a_29_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 VGND C1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_29_368# B1 a_294_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 Y B1 a_293_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 a_294_368# B2 a_29_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 VGND A2 a_675_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_29_368# C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 a_294_368# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 a_675_74# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 Y A1 a_675_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 a_29_368# B2 a_294_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X12 Y C1 a_29_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 a_675_74# A1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 a_293_74# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 VPWR A2 a_294_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X16 VGND B2 a_293_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X17 Y C1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 a_293_74# B1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X19 VPWR A1 a_294_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__a221oi_4

not to scale


.subckt sky130_fd_sc_ls__a221oi_4 A1 A2 B1 B2 C1 VGND VNB VPB VPWR Y
X0 VPWR A1 a_531_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 VGND C1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 a_534_74# A1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 Y C1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 Y C1 a_114_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 a_114_368# B2 a_531_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 a_1326_74# B1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 Y B1 a_1326_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 a_1326_74# B1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 a_531_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 a_531_368# B2 a_114_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 Y C1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 VGND C1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 a_1326_74# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 Y C1 a_114_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X15 VGND B2 a_1326_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 a_531_368# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X17 a_531_368# B1 a_114_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X18 a_531_368# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X19 VPWR A1 a_531_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X20 a_531_368# B1 a_114_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X21 Y B1 a_1326_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X22 a_1326_74# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X23 a_114_368# C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X24 a_534_74# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X25 Y A1 a_534_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X26 VGND A2 a_534_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X27 a_114_368# C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X28 a_531_368# B2 a_114_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X29 a_114_368# B2 a_531_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X30 VPWR A2 a_531_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X31 VGND B2 a_1326_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X32 a_114_368# B1 a_531_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X33 Y A1 a_534_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X34 a_531_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X35 a_534_74# A1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X36 VPWR A2 a_531_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X37 a_114_368# B1 a_531_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X38 a_534_74# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X39 VGND A2 a_534_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends