NAME

a221o from sky130_fd_sc_ls

DESCRIPTION

2-input AND into first two inputs of 3-input OR.

FUNCTION

X = ((A1 & A2) | (B1 & B2) | C1)

VERILOG

"sky130_fd_sc_ls__a221o"
/*
*/


`ifndef SKY130_FD_SC_LS__A221O_FUNCTIONAL_V
`define SKY130_FD_SC_LS__A221O_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__a221o (
    X ,
    A1,
    A2,
    B1,
    B2,
    C1
);

    // Module ports
    output X ;
    input  A1;
    input  A2;
    input  B1;
    input  B2;
    input  C1;

    // Local signals
    wire and0_out ;
    wire and1_out ;
    wire or0_out_X;

    //  Name  Output     Other arguments
    and and0 (and0_out , B1, B2                );
    and and1 (and1_out , A1, A2                );
    or  or0  (or0_out_X, and1_out, and0_out, C1);
    buf buf0 (X        , or0_out_X             );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__A221O_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__a221o_1

not to scale



.subckt sky130_fd_sc_ls__a221o_1 A1 A2 B1 B2 C1 VGND VNB VPB VPWR X
X0 a_509_392# B1 a_310_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 a_597_79# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X2 a_310_392# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X3 a_417_79# A1 a_148_260# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 a_148_260# B1 a_597_79# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X5 VGND C1 a_148_260# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 a_310_392# B2 a_509_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 a_509_392# C1 a_148_260# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X8 VPWR A2 a_310_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X9 VGND A2 a_417_79# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X10 X a_148_260# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 X a_148_260# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__a221o_2

not to scale


.subckt sky130_fd_sc_ls__a221o_2 A1 A2 B1 B2 C1 VGND VNB VPB VPWR X
X0 a_89_260# B1 a_603_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 a_316_392# B2 a_515_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X2 VGND a_89_260# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 VPWR a_89_260# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 a_316_392# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X5 X a_89_260# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 X a_89_260# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 a_515_392# C1 a_89_260# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X8 a_515_392# B1 a_316_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X9 a_603_74# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 VGND C1 a_89_260# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 VGND A2 a_337_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 a_337_74# A1 a_89_260# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 VPWR A2 a_316_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
.ends

sky130_fd_sc_ls__a221o_4

not to scale


.subckt sky130_fd_sc_ls__a221o_4 A1 A2 B1 B2 C1 VGND VNB VPB VPWR X
X0 VPWR A1 a_157_376# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 X a_154_135# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 a_71_135# A1 a_154_135# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 a_71_135# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 X a_154_135# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 a_1102_392# B2 a_157_376# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X6 VPWR A2 a_157_376# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 a_154_135# A1 a_71_135# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X8 VGND B2 a_1346_123# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X9 a_1346_123# B1 a_154_135# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X10 a_1102_392# C1 a_154_135# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X11 a_154_135# B1 a_1346_123# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X12 X a_154_135# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 X a_154_135# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 a_157_376# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X15 VGND C1 a_154_135# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X16 VPWR a_154_135# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X17 VPWR a_154_135# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X18 a_1346_123# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X19 VGND a_154_135# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X20 a_1102_392# B1 a_157_376# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X21 VGND a_154_135# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X22 a_157_376# B2 a_1102_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X23 VGND A2 a_71_135# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X24 a_154_135# C1 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X25 a_157_376# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X26 a_154_135# C1 a_1102_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X27 a_157_376# B1 a_1102_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
.ends