NAME

a21o from sky130_fd_sc_ls

DESCRIPTION

2-input AND into first input of 2-input OR.

FUNCTION

X = ((A1 & A2) | B1)

VERILOG

"sky130_fd_sc_ls__a21o"
/*
*/


`ifndef SKY130_FD_SC_LS__A21O_FUNCTIONAL_V
`define SKY130_FD_SC_LS__A21O_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__a21o (
    X ,
    A1,
    A2,
    B1
);

    // Module ports
    output X ;
    input  A1;
    input  A2;
    input  B1;

    // Local signals
    wire and0_out ;
    wire or0_out_X;

    //  Name  Output     Other arguments
    and and0 (and0_out , A1, A2         );
    or  or0  (or0_out_X, and0_out, B1   );
    buf buf0 (X        , or0_out_X      );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__A21O_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__a21o_1

not to scale



.subckt sky130_fd_sc_ls__a21o_1 A1 A2 B1 VGND VNB VPB VPWR X
X0 a_452_136# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X1 a_81_264# B1 a_364_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X2 VGND B1 a_81_264# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 X a_81_264# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 a_81_264# A1 a_452_136# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X5 a_364_392# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X6 VPWR A2 a_364_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 X a_81_264# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__a21o_2

not to scale


.subckt sky130_fd_sc_ls__a21o_2 A1 A2 B1 VGND VNB VPB VPWR X
X0 VPWR A2 a_401_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 a_484_74# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 VGND a_84_244# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_84_244# B1 a_401_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X4 VGND B1 a_84_244# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 X a_84_244# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 a_84_244# A1 a_484_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 VPWR a_84_244# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 a_401_392# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X9 X a_84_244# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__a21o_4

not to scale


.subckt sky130_fd_sc_ls__a21o_4 A1 A2 B1 VGND VNB VPB VPWR X
X0 a_91_48# A1 a_700_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X1 a_700_74# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X2 X a_91_48# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 VPWR a_91_48# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 VGND a_91_48# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 VGND B1 a_91_48# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 a_503_392# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 a_91_48# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X8 a_503_392# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X9 X a_91_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 a_503_392# B1 a_91_48# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X11 VPWR A2 a_503_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X12 X a_91_48# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 X a_91_48# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 VGND a_91_48# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 VGND A2 a_700_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X16 a_700_74# A1 a_91_48# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X17 VPWR A1 a_503_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X18 a_91_48# B1 a_503_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X19 VPWR a_91_48# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends