NAME

a21boi from sky130_fd_sc_ls

DESCRIPTION

2-input AND into first input of 2-input NOR, 2nd input inverted.

FUNCTION

Y = !((A1 & A2) | (!B1_N))

VERILOG

"sky130_fd_sc_ls__a21boi"
/*
*/


`ifndef SKY130_FD_SC_LS__A21BOI_FUNCTIONAL_V
`define SKY130_FD_SC_LS__A21BOI_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__a21boi (
    Y   ,
    A1  ,
    A2  ,
    B1_N
);

    // Module ports
    output Y   ;
    input  A1  ;
    input  A2  ;
    input  B1_N;

    // Local signals
    wire b         ;
    wire and0_out  ;
    wire nor0_out_Y;

    //  Name  Output      Other arguments
    not not0 (b         , B1_N           );
    and and0 (and0_out  , A1, A2         );
    nor nor0 (nor0_out_Y, b, and0_out    );
    buf buf0 (Y         , nor0_out_Y     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__A21BOI_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__a21boi_1

not to scale



.subckt sky130_fd_sc_ls__a21boi_1 A1 A2 B1_N VGND VNB VPB VPWR Y
X0 a_29_424# B1_N VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X1 VGND a_29_424# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 a_348_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 VPWR A2 a_348_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 Y A1 a_437_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 a_29_424# B1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X6 Y a_29_424# a_348_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 a_437_74# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__a21boi_2

not to scale


.subckt sky130_fd_sc_ls__a21boi_2 A1 A2 B1_N VGND VNB VPB VPWR Y
X0 Y a_62_94# a_241_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 VGND a_62_94# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 a_62_94# B1_N VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 VPWR B1_N a_62_94# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X4 VPWR A2 a_241_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 a_436_74# A1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 a_241_368# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 Y A1 a_436_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 a_436_74# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 a_241_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 a_241_368# a_62_94# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 VGND A2 a_436_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 Y a_62_94# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 VPWR A1 a_241_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__a21boi_4

not to scale


.subckt sky130_fd_sc_ls__a21boi_4 A1 A2 B1_N VGND VNB VPB VPWR Y
X0 a_31_368# a_803_323# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 VPWR B1_N a_803_323# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X2 a_31_368# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 a_31_368# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 a_46_74# A1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 VGND A2 a_46_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 a_46_74# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_31_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 VGND A2 a_46_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 VGND a_803_323# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 a_31_368# a_803_323# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 Y a_803_323# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 a_803_323# B1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X13 VGND a_803_323# Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X14 VGND B1_N a_803_323# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 a_31_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X16 Y A1 a_46_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X17 Y a_803_323# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 VPWR A2 a_31_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X19 Y a_803_323# a_31_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X20 a_46_74# A1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X21 VPWR A2 a_31_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X22 VPWR A1 a_31_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X23 VPWR A1 a_31_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X24 Y A1 a_46_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X25 a_46_74# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X26 Y a_803_323# a_31_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends