NAME

a21bo from sky130_fd_sc_ls

DESCRIPTION

2-input AND into first input of 2-input OR, 2nd input inverted.

FUNCTION

X = ((A1 & A2) | (!B1_N))

VERILOG

"sky130_fd_sc_ls__a21bo"
/*
*/


`ifndef SKY130_FD_SC_LS__A21BO_FUNCTIONAL_V
`define SKY130_FD_SC_LS__A21BO_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__a21bo (
    X   ,
    A1  ,
    A2  ,
    B1_N
);

    // Module ports
    output X   ;
    input  A1  ;
    input  A2  ;
    input  B1_N;

    // Local signals
    wire nand0_out  ;
    wire nand1_out_X;

    //   Name   Output       Other arguments
    nand nand0 (nand0_out  , A2, A1         );
    nand nand1 (nand1_out_X, B1_N, nand0_out);
    buf  buf0  (X          , nand1_out_X    );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__A21BO_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__a21bo_1

not to scale



.subckt sky130_fd_sc_ls__a21bo_1 A1 A2 B1_N VGND VNB VPB VPWR X
X0 a_34_392# a_272_110# a_194_136# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 VGND a_194_136# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 a_122_136# A1 a_194_136# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 VGND A2 a_122_136# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 VPWR a_194_136# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 a_194_136# a_272_110# VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 a_272_110# B1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X7 VPWR A1 a_34_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X8 a_34_392# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X9 a_272_110# B1_N VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
.ends

sky130_fd_sc_ls__a21bo_2

not to scale


.subckt sky130_fd_sc_ls__a21bo_2 A1 A2 B1_N VGND VNB VPB VPWR X
X0 a_504_392# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 VPWR a_187_244# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 VGND a_187_244# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 a_187_244# A1 a_587_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 a_32_368# B1_N VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=150000u
X5 VPWR A2 a_504_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X6 X a_187_244# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 X a_187_244# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 a_32_368# B1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X9 a_187_244# a_32_368# a_504_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X10 a_587_74# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 VGND a_32_368# a_187_244# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends

sky130_fd_sc_ls__a21bo_4

not to scale


.subckt sky130_fd_sc_ls__a21bo_4 A1 A2 B1_N VGND VNB VPB VPWR X
X0 X a_187_338# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 a_187_338# a_29_392# VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X2 X a_187_338# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 a_864_123# A1 a_187_338# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 a_596_392# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X5 X a_187_338# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 VPWR A2 a_596_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 a_596_392# a_29_392# a_187_338# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X8 VGND a_29_392# a_187_338# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X9 a_187_338# A1 a_864_123# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X10 a_29_392# B1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X11 VPWR a_187_338# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X12 VGND A2 a_864_123# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X13 VPWR a_187_338# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 VPWR A1 a_596_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X15 a_864_123# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X16 a_187_338# a_29_392# a_596_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X17 X a_187_338# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 a_596_392# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X19 a_29_392# B1_N VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X20 VGND a_187_338# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X21 VGND a_187_338# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
.ends