NAME

a211o from sky130_fd_sc_ls

DESCRIPTION

2-input AND into first input of 3-input OR.

FUNCTION

X = ((A1 & A2) | B1 | C1)

VERILOG

"sky130_fd_sc_ls__a211o"
/*
*/


`ifndef SKY130_FD_SC_LS__A211O_FUNCTIONAL_V
`define SKY130_FD_SC_LS__A211O_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__a211o (
    X ,
    A1,
    A2,
    B1,
    C1
);

    // Module ports
    output X ;
    input  A1;
    input  A2;
    input  B1;
    input  C1;

    // Local signals
    wire and0_out ;
    wire or0_out_X;

    //  Name  Output     Other arguments
    and and0 (and0_out , A1, A2          );
    or  or0  (or0_out_X, and0_out, C1, B1);
    buf buf0 (X        , or0_out_X       );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__A211O_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__a211o_1

not to scale



.subckt sky130_fd_sc_ls__a211o_1 A1 A2 B1 C1 VGND VNB VPB VPWR X
X0 X a_81_264# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 a_81_264# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X2 a_279_392# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X3 VPWR A1 a_279_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X4 VGND A2 a_366_136# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X5 X a_81_264# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 VGND C1 a_81_264# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X7 a_366_136# A1 a_81_264# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X8 a_550_392# C1 a_81_264# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X9 a_279_392# B1 a_550_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
.ends

sky130_fd_sc_ls__a211o_2

not to scale


.subckt sky130_fd_sc_ls__a211o_2 A1 A2 B1 C1 VGND VNB VPB VPWR X
X0 VGND A2 a_399_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 X a_85_270# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 VPWR A1 a_317_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X3 a_317_392# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X4 a_600_392# C1 a_85_270# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X5 a_399_74# A1 a_85_270# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 VPWR a_85_270# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 a_317_392# B1 a_600_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X8 a_85_270# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 VGND a_85_270# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 VGND C1 a_85_270# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 X a_85_270# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__a211o_4

not to scale


.subckt sky130_fd_sc_ls__a211o_4 A1 A2 B1 C1 VGND VNB VPB VPWR X
X0 a_602_392# B1 a_517_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 VPWR A2 a_517_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X2 VGND C1 a_105_280# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 VGND a_105_280# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 X a_105_280# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 a_105_280# C1 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 a_105_280# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X7 VGND a_105_280# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 X a_105_280# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 a_105_280# C1 a_602_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X10 X a_105_280# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X11 a_1064_123# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X12 X a_105_280# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 VPWR a_105_280# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 VGND A2 a_1064_123# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X15 a_105_280# A1 a_1064_123# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X16 a_517_392# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X17 a_517_392# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X18 a_517_392# B1 a_602_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X19 VPWR A1 a_517_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X20 a_1064_123# A1 a_105_280# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X21 VGND B1 a_105_280# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X22 VPWR a_105_280# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X23 a_602_392# C1 a_105_280# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
.ends