NAME

a2111oi from sky130_fd_sc_ls

DESCRIPTION

2-input AND into first input of 4-input NOR.

FUNCTION

Y = !((A1 & A2) | B1 | C1 | D1)

VERILOG

"sky130_fd_sc_ls__a2111oi"
/*
*/


`ifndef SKY130_FD_SC_LS__A2111OI_FUNCTIONAL_V
`define SKY130_FD_SC_LS__A2111OI_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__a2111oi (
    Y ,
    A1,
    A2,
    B1,
    C1,
    D1
);

    // Module ports
    output Y ;
    input  A1;
    input  A2;
    input  B1;
    input  C1;
    input  D1;

    // Local signals
    wire and0_out  ;
    wire nor0_out_Y;

    //  Name  Output      Other arguments
    and and0 (and0_out  , A1, A2              );
    nor nor0 (nor0_out_Y, B1, C1, D1, and0_out);
    buf buf0 (Y         , nor0_out_Y          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__A2111OI_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__a2111oi_1

not to scale



.subckt sky130_fd_sc_ls__a2111oi_1 A1 A2 B1 C1 D1 VGND VNB VPB VPWR Y
X0 a_342_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 a_461_74# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 a_234_368# B1 a_342_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 VGND D1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 Y C1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 a_156_368# C1 a_234_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 VGND B1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 Y A1 a_461_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 Y D1 a_156_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 VPWR A2 a_342_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__a2111oi_2

not to scale


.subckt sky130_fd_sc_ls__a2111oi_2 A1 A2 B1 C1 D1 VGND VNB VPB VPWR Y
X0 a_334_368# B1 a_533_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 a_722_74# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 VGND A2 a_722_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X3 Y D1 a_69_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 a_533_368# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 a_533_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 Y C1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 VPWR A2 a_533_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X8 a_533_368# B1 a_334_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 a_334_368# C1 a_69_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 a_69_368# C1 a_334_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 a_722_74# A1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 Y A1 a_722_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 a_69_368# D1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 VGND B1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 VGND D1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X16 VPWR A1 a_533_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__a2111oi_4

not to scale


.subckt sky130_fd_sc_ls__a2111oi_4 A1 A2 B1 C1 D1 VGND VNB VPB VPWR Y
X0 VPWR A2 a_853_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X1 a_29_368# C1 a_474_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X2 VPWR A2 a_853_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 a_1228_74# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X4 a_29_368# D1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 VPWR A1 a_853_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X6 VGND A2 a_1228_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X7 a_1228_74# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 VPWR A1 a_853_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 a_474_368# B1 a_853_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 a_29_368# D1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 VGND B1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X13 a_474_368# C1 a_29_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X14 a_1228_74# A1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X15 a_474_368# C1 a_29_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X16 a_853_368# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X17 Y A1 a_1228_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X18 VGND D1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X19 Y D1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X20 Y D1 a_29_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X21 a_853_368# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X22 Y D1 a_29_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X23 a_853_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X24 a_853_368# B1 a_474_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X25 a_853_368# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X26 a_1228_74# A1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X27 Y A1 a_1228_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X28 VGND C1 Y VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X29 a_853_368# B1 a_474_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X30 Y C1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X31 VGND A2 a_1228_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X32 a_29_368# C1 a_474_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X33 a_474_368# B1 a_853_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends