NAME

a2111o from sky130_fd_sc_ls

DESCRIPTION

2-input AND into first input of 4-input OR.

FUNCTION

X = ((A1 & A2) | B1 | C1 | D1)

VERILOG

"sky130_fd_sc_ls__a2111o"
/*
*/


`ifndef SKY130_FD_SC_LS__A2111O_FUNCTIONAL_V
`define SKY130_FD_SC_LS__A2111O_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_ls__a2111o (
    X ,
    A1,
    A2,
    B1,
    C1,
    D1
);

    // Module ports
    output X ;
    input  A1;
    input  A2;
    input  B1;
    input  C1;
    input  D1;

    // Local signals
    wire and0_out ;
    wire or0_out_X;

    //  Name  Output     Other arguments
    and and0 (and0_out , A1, A2              );
    or  or0  (or0_out_X, C1, B1, and0_out, D1);
    buf buf0 (X        , or0_out_X           );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LS__A2111O_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_ls__a2111o_1

not to scale



.subckt sky130_fd_sc_ls__a2111o_1 A1 A2 B1 C1 D1 VGND VNB VPB VPWR X
X0 a_353_392# C1 a_431_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 VGND a_85_136# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 a_80_392# B1 a_353_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X3 a_85_136# A1 a_168_136# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 VGND B1 a_85_136# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X5 VGND D1 a_85_136# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 a_431_392# D1 a_85_136# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 a_80_392# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X8 VPWR a_85_136# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 a_168_136# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X10 a_85_136# C1 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X11 VPWR A2 a_80_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
.ends

sky130_fd_sc_ls__a2111o_2

not to scale


.subckt sky130_fd_sc_ls__a2111o_2 A1 A2 B1 C1 D1 VGND VNB VPB VPWR X
X0 X a_91_244# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X1 a_771_74# A1 a_91_244# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X2 VPWR a_91_244# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X3 a_522_368# B1 a_630_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X4 a_91_244# D1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X5 a_91_244# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 X a_91_244# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X7 VGND A2 a_771_74# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 VGND C1 a_91_244# VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X9 a_91_244# D1 a_444_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X10 VPWR A1 a_630_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X11 VGND a_91_244# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X12 a_444_368# C1 a_522_368# VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X13 a_630_368# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
.ends

sky130_fd_sc_ls__a2111o_4

not to scale


.subckt sky130_fd_sc_ls__a2111o_4 A1 A2 B1 C1 D1 VGND VNB VPB VPWR X
X0 a_549_392# C1 a_814_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X1 a_1013_392# B1 a_814_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X2 VGND D1 a_137_260# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 a_137_260# D1 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 VPWR a_137_260# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X5 X a_137_260# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X6 a_137_260# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X7 VGND a_137_260# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X8 VPWR a_137_260# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X9 X a_137_260# VGND VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X10 VGND B1 a_137_260# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X11 VPWR A2 a_1013_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X12 VPWR A1 a_1013_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X13 a_814_392# C1 a_549_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X14 a_814_392# B1 a_1013_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X15 a_1210_74# A1 a_137_260# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X16 a_137_260# D1 a_549_392# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X17 X a_137_260# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X18 a_549_392# D1 a_137_260# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X19 X a_137_260# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.12e+06u l=150000u
X20 VGND C1 a_137_260# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X21 a_137_260# A1 a_1210_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X22 a_137_260# C1 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X23 a_1210_74# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X24 VGND a_137_260# X VNB sky130_fd_pr__nfet_01v8 w=740000u l=150000u
X25 VGND A2 a_1210_74# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X26 a_1013_392# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X27 a_1013_392# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
.ends