NAME

xor3 from sky130_fd_sc_lp

DESCRIPTION

3-input exclusive OR.

FUNCTION

X = A ^ B ^ C

VERILOG

"sky130_fd_sc_lp__xor3"
/*
*/


`ifndef SKY130_FD_SC_LP__XOR3_FUNCTIONAL_V
`define SKY130_FD_SC_LP__XOR3_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__xor3 (
    X,
    A,
    B,
    C
);

    // Module ports
    output X;
    input  A;
    input  B;
    input  C;

    // Local signals
    wire xor0_out_X;

    //  Name  Output      Other arguments
    xor xor0 (xor0_out_X, A, B, C        );
    buf buf0 (X         , xor0_out_X     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__XOR3_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__xor3_1

not to scale



.subckt sky130_fd_sc_lp__xor3_1 A B C VGND VNB VPB VPWR X
X0 a_1263_295# C VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_425_117# a_474_313# a_86_305# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X2 a_86_305# B a_402_411# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X3 a_474_313# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_1263_295# C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 a_402_411# a_1263_295# a_1363_127# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 VPWR A a_86_305# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X7 VGND a_1363_127# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_42_411# a_86_305# VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X9 a_86_305# B a_425_117# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X10 a_42_411# a_86_305# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X11 a_402_411# a_474_313# a_42_411# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X12 a_1363_127# C a_402_411# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X13 a_425_117# a_1263_295# a_1363_127# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X14 VGND A a_86_305# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X15 a_402_411# a_474_313# a_86_305# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X16 a_42_411# B a_425_117# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X17 a_425_117# a_474_313# a_42_411# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 VPWR a_1363_127# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 a_474_313# B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 a_42_411# B a_402_411# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X21 a_1363_127# C a_425_117# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
.ends