NAME

xor2 from sky130_fd_sc_lp

DESCRIPTION

2-input exclusive OR.

FUNCTION

X = A ^ B

VERILOG

"sky130_fd_sc_lp__xor2"
/*
*/


`ifndef SKY130_FD_SC_LP__XOR2_FUNCTIONAL_V
`define SKY130_FD_SC_LP__XOR2_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__xor2 (
    X,
    A,
    B
);

    // Module ports
    output X;
    input  A;
    input  B;

    // Local signals
    wire xor0_out_X;

    //  Name  Output      Other arguments
    xor xor0 (xor0_out_X, B, A           );
    buf buf0 (X         , xor0_out_X     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__XOR2_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__xor2_m

not to scale



.subckt sky130_fd_sc_lp__xor2_m A B VGND VNB VPB VPWR X
X0 a_124_535# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_41_535# B a_124_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_282_535# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 VPWR A a_282_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_357_156# B X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 X a_41_535# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VGND B a_41_535# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_282_535# a_41_535# X VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 a_41_535# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VGND A a_357_156# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__xor2_0

not to scale



.subckt sky130_fd_sc_lp__xor2_0 A B VGND VNB VPB VPWR X
X0 a_27_481# B a_110_481# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 VGND B a_27_481# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_27_481# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_110_481# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 X a_27_481# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_317_85# B X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VPWR A a_274_481# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 a_274_481# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X8 VGND A a_317_85# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 X a_27_481# a_274_481# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__xor2_1

not to scale



.subckt sky130_fd_sc_lp__xor2_1 A B VGND VNB VPB VPWR X
X0 VGND B a_42_367# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 X a_42_367# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_293_367# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_297_69# B X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VPWR A a_293_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_42_367# B a_125_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_42_367# A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 VGND A a_297_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_125_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 X a_42_367# a_293_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__xor2_2

not to scale


.subckt sky130_fd_sc_lp__xor2_2 A B VGND VNB VPB VPWR X
X0 a_814_65# A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 X B a_814_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VGND B a_149_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 VGND A a_149_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_149_65# B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VGND a_149_65# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VPWR A a_149_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_149_65# B a_149_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VPWR B a_532_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 VGND A a_814_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_532_367# a_149_65# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_814_65# B X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 X a_149_65# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_149_367# B a_149_65# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 a_532_367# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 a_532_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 a_149_65# A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 X a_149_65# a_532_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 VPWR A a_532_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 a_149_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__xor2_4

not to scale


.subckt sky130_fd_sc_lp__xor2_4 A B VGND VNB VPB VPWR X
X0 VPWR A a_27_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_110_47# A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_110_47# B X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 X B a_110_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VPWR A a_27_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VGND A a_110_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_110_47# B X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_27_367# a_776_255# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_27_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_1199_367# B a_776_255# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 a_27_367# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 VPWR A a_1199_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 a_27_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 VPWR B a_27_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 a_1199_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 X a_776_255# a_27_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 a_27_367# a_776_255# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 X a_776_255# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 a_776_255# A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 X a_776_255# a_27_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 VGND B a_776_255# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X21 a_1199_367# B a_776_255# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X22 VGND a_776_255# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 a_1199_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X24 a_776_255# B a_1199_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X25 a_27_367# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X26 VGND A a_776_255# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X27 a_110_47# A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X28 a_776_255# A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X29 VGND A a_776_255# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X30 VGND A a_110_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X31 a_776_255# B a_1199_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X32 a_776_255# B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X33 X B a_110_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X34 X a_776_255# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X35 VGND B a_776_255# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X36 VPWR B a_27_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X37 VPWR A a_1199_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X38 VGND a_776_255# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X39 a_776_255# B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends