NAME

xnor2 from sky130_fd_sc_lp

DESCRIPTION

2-input exclusive NOR.

FUNCTION

Y = !(A ^ B)

VERILOG

"sky130_fd_sc_lp__xnor2"
/*
*/


`ifndef SKY130_FD_SC_LP__XNOR2_FUNCTIONAL_V
`define SKY130_FD_SC_LP__XNOR2_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__xnor2 (
    Y,
    A,
    B
);

    // Module ports
    output Y;
    input  A;
    input  B;

    // Local signals
    wire xnor0_out_Y;

    //   Name   Output       Other arguments
    xnor xnor0 (xnor0_out_Y, A, B           );
    buf  buf0  (Y          , xnor0_out_Y    );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__XNOR2_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__xnor2_m

not to scale



.subckt sky130_fd_sc_lp__xnor2_m A B VGND VNB VPB VPWR Y
X0 Y a_56_90# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 Y a_56_90# a_297_90# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VPWR B a_56_90# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 VPWR A a_311_422# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_311_422# B Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_139_90# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_56_90# B a_139_90# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VGND A a_297_90# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_297_90# B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_56_90# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__xnor2_0

not to scale



.subckt sky130_fd_sc_lp__xnor2_0 A B VGND VNB VPB VPWR Y
X0 a_143_487# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 VPWR A a_383_487# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 a_383_487# B Y VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 a_300_60# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_110_177# B a_143_487# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VGND A a_110_177# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VGND B a_300_60# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_300_60# a_143_487# Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VPWR A a_143_487# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X9 Y a_143_487# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__xnor2_1

not to scale



.subckt sky130_fd_sc_lp__xnor2_1 A B VGND VNB VPB VPWR Y
X0 a_116_47# A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_33_47# B a_116_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VPWR B a_33_47# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_302_47# A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_302_47# a_33_47# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VGND B a_302_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_385_367# B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_33_47# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VPWR A a_385_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 Y a_33_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__xnor2_2

not to scale


.subckt sky130_fd_sc_lp__xnor2_2 A B VGND VNB VPB VPWR Y
X0 Y a_162_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_162_367# B a_27_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_545_367# B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 Y B a_545_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_162_367# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VGND B a_555_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VPWR A a_545_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_27_47# A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VGND A a_27_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_555_65# A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_27_47# B a_162_367# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 a_545_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 VPWR B a_162_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 a_162_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 VPWR A a_162_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 VGND A a_555_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 a_555_65# B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 a_555_65# a_162_367# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 VPWR a_162_367# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 Y a_162_367# a_555_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__xnor2_4

not to scale


.subckt sky130_fd_sc_lp__xnor2_4 A B VGND VNB VPB VPWR Y
X0 Y a_808_39# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VPWR A a_808_39# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 VPWR a_808_39# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_808_39# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 Y a_808_39# a_31_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 Y B a_110_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_110_367# B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_31_65# B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_808_39# B a_1235_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 VGND B a_31_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 VGND A a_1235_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 a_110_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 a_31_65# B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_808_39# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 VPWR a_808_39# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 a_808_39# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 Y a_808_39# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 VGND A a_31_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 a_1235_65# A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 VPWR A a_110_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 Y B a_110_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 a_31_65# A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 a_110_367# B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X23 a_1235_65# A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X24 VGND A a_1235_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X25 VPWR B a_808_39# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X26 a_808_39# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X27 VGND A a_31_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X28 a_31_65# a_808_39# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X29 a_31_65# a_808_39# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X30 a_110_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X31 VPWR A a_808_39# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X32 VPWR B a_808_39# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X33 Y a_808_39# a_31_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X34 a_1235_65# B a_808_39# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X35 a_31_65# A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X36 a_808_39# B a_1235_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X37 VGND B a_31_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X38 a_1235_65# B a_808_39# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X39 VPWR A a_110_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends