NAME

tapvpwrvgnd from sky130_fd_sc_lp

DESCRIPTION

Substrate and well tap cell.

FUNCTION

VERILOG

"sky130_fd_sc_lp__tapvpwrvgnd"
/*
*/


`ifndef SKY130_FD_SC_LP__TAPVPWRVGND_FUNCTIONAL_V
`define SKY130_FD_SC_LP__TAPVPWRVGND_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__tapvpwrvgnd ();
     // No contents.
endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__TAPVPWRVGND_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__tapvpwrvgnd_1

not to scale



.subckt sky130_fd_sc_lp__tapvpwrvgnd_1 VGND VPWR
.ends