NAME

tapvgnd from sky130_fd_sc_lp

DESCRIPTION

Tap cell with tap to ground, isolated power connection 1 row down.

FUNCTION

VERILOG

"sky130_fd_sc_lp__tapvgnd"
/*
*/


`ifndef SKY130_FD_SC_LP__TAPVGND_FUNCTIONAL_V
`define SKY130_FD_SC_LP__TAPVGND_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__tapvgnd ();
     // No contents.
endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__TAPVGND_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__tapvgnd_1

not to scale



.subckt sky130_fd_sc_lp__tapvgnd_1 VGND VPB VPWR
.ends