NAME

sdlclkp from sky130_fd_sc_lp

DESCRIPTION

Scan gated clock.

FUNCTION

VERILOG

"sky130_fd_sc_lp__sdlclkp"
/*
*/


`ifndef SKY130_FD_SC_LP__SDLCLKP_FUNCTIONAL_V
`define SKY130_FD_SC_LP__SDLCLKP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dlatch_p/sky130_fd_sc_lp__udp_dlatch_p.v"

`celldefine
module sky130_fd_sc_lp__sdlclkp (
    GCLK,
    SCE ,
    GATE,
    CLK
);

    // Module ports
    output GCLK;
    input  SCE ;
    input  GATE;
    input  CLK ;

    // Local signals
    wire m0              ;
    wire m0n             ;
    wire clkn            ;
    wire CLK_delayed     ;
    wire SCE_delayed     ;
    wire GATE_delayed    ;
    wire SCE_gate_delayed;
    wire SCE_GATE        ;

    //                            Delay       Name     Output    Other arguments
    not                                       not0    (m0n     , m0             );
    not                                       not1    (clkn    , CLK            );
    nor                                       nor0    (SCE_GATE, GATE, SCE      );
    sky130_fd_sc_lp__udp_dlatch$P `UNIT_DELAY dlatch0 (m0      , SCE_GATE, clkn );
    and                                       and0    (GCLK    , m0n, CLK       );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__SDLCLKP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__sdlclkp_1

not to scale



.subckt sky130_fd_sc_lp__sdlclkp_1 CLK GATE SCE VGND VNB VPB VPWR GCLK
X0 a_1231_367# a_737_329# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 a_254_357# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VGND CLK a_1194_52# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_721_133# a_737_329# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_110_468# GATE a_154_69# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 VPWR a_1231_367# GCLK VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 VGND a_254_357# a_334_69# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_254_357# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X8 VPWR SCE a_110_468# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X9 a_736_463# a_737_329# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 a_623_133# a_334_69# a_721_133# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 VPWR a_623_133# a_737_329# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 VPWR CLK a_1231_367# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X13 VGND a_623_133# a_737_329# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 VGND SCE a_154_69# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X15 a_154_69# GATE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 a_1194_52# a_737_329# a_1231_367# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 a_154_69# a_254_357# a_623_133# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 VPWR a_254_357# a_334_69# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X19 a_623_133# a_254_357# a_736_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X20 VGND a_1231_367# GCLK VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X21 a_154_69# a_334_69# a_623_133# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

sky130_fd_sc_lp__sdlclkp_2

not to scale


.subckt sky130_fd_sc_lp__sdlclkp_2 CLK GATE SCE VGND VNB VPB VPWR GCLK
X0 a_110_70# a_250_443# a_614_133# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VGND SCE a_110_70# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_700_133# a_742_107# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_614_133# a_250_443# a_746_457# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_110_468# GATE a_110_70# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 a_110_70# a_282_70# a_614_133# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_614_133# a_282_70# a_700_133# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VGND a_614_133# a_742_107# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VPWR a_614_133# a_742_107# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 GCLK a_1235_429# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 VPWR a_1235_429# GCLK VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 VPWR SCE a_110_468# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X12 a_1174_74# a_742_107# a_1235_429# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 a_1235_429# a_742_107# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X14 a_110_70# GATE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X15 VGND a_1235_429# GCLK VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 a_250_443# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X17 VGND a_250_443# a_282_70# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 a_746_457# a_742_107# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X19 VPWR a_250_443# a_282_70# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X20 a_250_443# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X21 VPWR CLK a_1235_429# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X22 VGND CLK a_1174_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X23 GCLK a_1235_429# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__sdlclkp_4

not to scale


.subckt sky130_fd_sc_lp__sdlclkp_4 CLK GATE SCE VGND VNB VPB VPWR GCLK
X0 a_1275_367# a_762_107# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VGND a_1275_367# GCLK VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VPWR a_1275_367# GCLK VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_110_468# GATE a_134_70# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 VPWR a_252_361# a_335_70# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 a_634_133# a_252_361# a_720_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_720_463# a_762_107# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 VGND SCE a_134_70# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_252_361# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X9 GCLK a_1275_367# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 VPWR SCE a_110_468# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X11 a_1216_47# a_762_107# a_1275_367# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 VGND a_252_361# a_335_70# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 a_720_133# a_762_107# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 VGND a_1275_367# GCLK VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 a_252_361# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 a_134_70# a_335_70# a_634_133# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X17 a_634_133# a_335_70# a_720_133# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 VPWR a_1275_367# GCLK VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 GCLK a_1275_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 GCLK a_1275_367# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X21 VGND CLK a_1216_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 a_134_70# GATE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X23 VPWR a_634_133# a_762_107# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X24 a_134_70# a_252_361# a_634_133# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X25 VGND a_634_133# a_762_107# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X26 VPWR CLK a_1275_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X27 GCLK a_1275_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends