NAME

sdfxtp from sky130_fd_sc_lp

DESCRIPTION

Scan delay flop, non-inverted clock, single output.

FUNCTION

VERILOG

"sky130_fd_sc_lp__sdfxtp"
/*
*/


`ifndef SKY130_FD_SC_LP__SDFXTP_FUNCTIONAL_V
`define SKY130_FD_SC_LP__SDFXTP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_mux_2to1/sky130_fd_sc_lp__udp_mux_2to1.v"
`include "../../models/udp_dff_p/sky130_fd_sc_lp__udp_dff_p.v"

`celldefine
module sky130_fd_sc_lp__sdfxtp (
    Q  ,
    CLK,
    D  ,
    SCD,
    SCE
);

    // Module ports
    output Q  ;
    input  CLK;
    input  D  ;
    input  SCD;
    input  SCE;

    // Local signals
    wire buf_Q  ;
    wire mux_out;

    //                            Delay       Name       Output   Other arguments
    sky130_fd_sc_lp__udp_mux_2to1             mux_2to10 (mux_out, D, SCD, SCE    );
    sky130_fd_sc_lp__udp_dff$P    `UNIT_DELAY dff0      (buf_Q  , mux_out, CLK   );
    buf                                       buf0      (Q      , buf_Q          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__SDFXTP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__sdfxtp_1

not to scale



.subckt sky130_fd_sc_lp__sdfxtp_1 CLK D SCD SCE VGND VNB VPB VPWR Q
X0 a_1157_449# a_1201_99# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_1051_125# a_823_47# a_1137_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_319_123# a_78_123# a_441_491# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 a_1459_449# a_628_123# a_1664_65# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VGND CLK a_628_123# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VGND a_628_123# a_823_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VGND a_1657_383# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_1459_449# a_823_47# a_1615_495# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 VPWR a_1657_383# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 VGND a_78_123# a_247_123# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_319_123# a_628_123# a_1051_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 VPWR a_1051_125# a_1201_99# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X12 a_1201_99# a_628_123# a_1459_449# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X13 a_1137_125# a_1201_99# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 VGND a_1051_125# a_1201_99# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X15 a_464_123# SCD VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 VPWR a_628_123# a_823_47# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X17 a_1615_495# a_1657_383# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X18 a_78_123# SCE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X19 a_78_123# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X20 a_1051_125# a_628_123# a_1157_449# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X21 a_319_123# a_823_47# a_1051_125# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X22 a_247_123# D a_319_123# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X23 a_319_123# SCE a_464_123# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X24 VPWR a_1459_449# a_1657_383# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X25 a_1201_99# a_823_47# a_1459_449# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X26 VGND a_1459_449# a_1657_383# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X27 a_441_491# SCD VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X28 a_1664_65# a_1657_383# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X29 VPWR SCE a_283_491# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X30 a_283_491# D a_319_123# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X31 VPWR CLK a_628_123# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

sky130_fd_sc_lp__sdfxtp_2

not to scale


.subckt sky130_fd_sc_lp__sdfxtp_2 CLK D SCD SCE VGND VNB VPB VPWR Q
X0 a_1178_399# a_831_47# a_1517_63# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VGND a_610_487# a_831_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_1149_125# a_1178_399# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_1178_399# a_610_487# a_1517_63# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X4 VPWR a_1517_63# a_1665_381# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X5 a_1517_63# a_610_487# a_1670_63# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_1047_125# a_610_487# a_1136_451# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 a_55_119# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_328_119# a_831_47# a_1047_125# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 a_1517_63# a_831_47# a_1623_493# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 a_1623_493# a_1665_381# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X11 Q a_1665_381# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 a_414_487# SCD VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X13 VGND a_55_119# a_256_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 a_1047_125# a_831_47# a_1149_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X15 Q a_1665_381# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 a_256_487# D a_328_119# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X17 VPWR a_610_487# a_831_47# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X18 VGND a_1517_63# a_1665_381# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X19 a_328_119# a_610_487# a_1047_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X20 VGND a_1665_381# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X21 a_55_119# SCE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X22 a_464_119# SCD VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X23 VGND CLK a_610_487# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X24 a_328_119# a_55_119# a_414_487# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X25 a_256_119# D a_328_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X26 a_1136_451# a_1178_399# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X27 VGND a_1047_125# a_1178_399# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X28 a_328_119# SCE a_464_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X29 a_1670_63# a_1665_381# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X30 VPWR a_1047_125# a_1178_399# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X31 VPWR SCE a_256_487# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X32 VPWR CLK a_610_487# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X33 VPWR a_1665_381# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__sdfxtp_4

not to scale


.subckt sky130_fd_sc_lp__sdfxtp_4 CLK D SCD SCE VGND VNB VPB VPWR Q
X0 VGND a_91_123# a_260_123# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_483_123# SCD VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_359_123# a_91_123# a_454_491# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 a_1143_125# a_1203_99# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_1199_449# a_1203_99# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 Q a_1673_409# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 Q a_1673_409# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 VGND a_1475_449# a_1673_409# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VGND a_1673_409# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_359_123# SCE a_483_123# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_1475_449# a_641_123# a_1670_61# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_1203_99# a_850_51# a_1475_449# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 VGND CLK a_641_123# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 a_359_123# a_850_51# a_1053_125# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X14 a_1631_507# a_1673_409# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X15 Q a_1673_409# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 VPWR a_1673_409# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 VPWR a_1053_125# a_1203_99# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X18 a_1053_125# a_850_51# a_1143_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 VPWR a_1475_449# a_1673_409# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 VPWR CLK a_641_123# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X21 VPWR a_641_123# a_850_51# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X22 VPWR a_1673_409# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X23 a_454_491# SCD VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X24 a_91_123# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X25 a_1203_99# a_641_123# a_1475_449# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X26 a_1670_61# a_1673_409# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X27 VPWR SCE a_296_491# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X28 a_296_491# D a_359_123# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X29 a_91_123# SCE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X30 a_1053_125# a_641_123# a_1199_449# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X31 a_1475_449# a_850_51# a_1631_507# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X32 a_260_123# D a_359_123# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X33 VGND a_1673_409# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X34 Q a_1673_409# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X35 VGND a_641_123# a_850_51# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X36 VGND a_1053_125# a_1203_99# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X37 a_359_123# a_641_123# a_1053_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends