NAME

sdfstp from sky130_fd_sc_lp

DESCRIPTION

Scan delay flop, inverted set, non-inverted clock, single output.

FUNCTION

VERILOG

"sky130_fd_sc_lp__sdfstp"
/*
*/


`ifndef SKY130_FD_SC_LP__SDFSTP_FUNCTIONAL_V
`define SKY130_FD_SC_LP__SDFSTP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dff_ps/sky130_fd_sc_lp__udp_dff_ps.v"
`include "../../models/udp_mux_2to1/sky130_fd_sc_lp__udp_mux_2to1.v"

`celldefine
module sky130_fd_sc_lp__sdfstp (
    Q    ,
    CLK  ,
    D    ,
    SCD  ,
    SCE  ,
    SET_B
);

    // Module ports
    output Q    ;
    input  CLK  ;
    input  D    ;
    input  SCD  ;
    input  SCE  ;
    input  SET_B;

    // Local signals
    wire buf_Q  ;
    wire SET    ;
    wire mux_out;

    //                            Delay       Name       Output   Other arguments
    not                                       not0      (SET    , SET_B            );
    sky130_fd_sc_lp__udp_mux_2to1             mux_2to10 (mux_out, D, SCD, SCE      );
    sky130_fd_sc_lp__udp_dff$PS   `UNIT_DELAY dff0      (buf_Q  , mux_out, CLK, SET);
    buf                                       buf0      (Q      , buf_Q            );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__SDFSTP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__sdfstp_1

not to scale



.subckt sky130_fd_sc_lp__sdfstp_1 CLK D SCD SCE SET_B VGND VNB VPB VPWR Q
X0 VGND SCE a_324_102# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_124_128# SCE a_196_128# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_1810_463# a_871_47# a_1912_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_196_128# a_324_102# a_27_408# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 VPWR a_2598_153# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VPWR a_1912_463# a_2158_231# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 VGND SCD a_124_128# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_1810_463# a_2158_231# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 a_1502_125# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VPWR SCE a_196_408# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X10 a_196_408# D a_196_128# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X11 a_282_128# a_324_102# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 a_1135_57# a_702_47# a_1221_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X13 VGND a_1135_57# a_1847_125# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X14 a_1221_463# a_1263_31# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X15 VPWR a_1135_57# a_1703_379# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X16 a_196_128# a_702_47# a_1135_57# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 a_1912_463# a_702_47# a_2116_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 a_27_408# SCD VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X19 a_1263_31# SET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X20 a_702_47# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X21 VGND a_702_47# a_871_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X22 a_1912_463# a_702_47# a_1703_379# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X23 a_1263_31# a_1135_57# a_1502_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X24 VPWR SCE a_324_102# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X25 a_196_128# D a_282_128# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X26 a_2224_125# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X27 a_1221_57# a_1263_31# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X28 a_196_128# a_871_47# a_1135_57# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X29 VPWR SET_B a_1912_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X30 a_2598_153# a_1912_463# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X31 a_1847_125# a_871_47# a_1912_463# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X32 a_2116_125# a_2158_231# a_2224_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X33 VGND a_1912_463# a_2158_231# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X34 a_2598_153# a_1912_463# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X35 a_1135_57# a_871_47# a_1221_57# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X36 VPWR a_702_47# a_871_47# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X37 a_702_47# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X38 VGND a_2598_153# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X39 VPWR a_1135_57# a_1263_31# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

sky130_fd_sc_lp__sdfstp_2

not to scale


.subckt sky130_fd_sc_lp__sdfstp_2 CLK D SCD SCE SET_B VGND VNB VPB VPWR Q
X0 a_1237_55# a_794_47# a_1327_415# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_1327_415# a_1365_29# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_1365_29# SET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_208_481# D a_244_121# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 a_1888_463# a_963_47# a_1998_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 Q a_2686_131# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 VPWR a_794_47# a_963_47# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 VPWR a_2686_131# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VGND SCD a_172_121# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VPWR a_1998_463# a_2214_99# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 VPWR a_1237_55# a_1365_29# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X11 a_1998_463# a_794_47# a_1781_379# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X12 Q a_2686_131# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_244_121# D a_330_121# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 a_244_121# a_794_47# a_1237_55# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X15 a_2159_125# a_2214_99# a_2244_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 a_1365_29# a_1237_55# a_1608_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 VPWR SCE a_358_429# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X18 a_2686_131# a_1998_463# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X19 a_244_121# a_963_47# a_1237_55# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X20 a_1323_55# a_1365_29# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X21 VGND a_1237_55# a_1933_125# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X22 a_330_121# a_358_429# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X23 a_794_47# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X24 VGND a_794_47# a_963_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X25 a_2686_131# a_1998_463# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X26 a_244_121# a_358_429# a_39_481# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X27 VPWR SET_B a_1998_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X28 a_2244_125# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X29 a_1888_463# a_2214_99# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X30 VPWR SCE a_208_481# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X31 a_1608_125# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X32 a_794_47# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X33 VPWR a_1237_55# a_1781_379# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X34 a_1933_125# a_963_47# a_1998_463# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X35 VGND SCE a_358_429# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X36 a_1237_55# a_963_47# a_1323_55# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X37 VGND a_2686_131# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X38 a_39_481# SCD VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X39 a_172_121# SCE a_244_121# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X40 a_1998_463# a_794_47# a_2159_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X41 VGND a_1998_463# a_2214_99# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_lp__sdfstp_4

not to scale


.subckt sky130_fd_sc_lp__sdfstp_4 CLK D SCD SCE SET_B VGND VNB VPB VPWR Q
X0 a_1960_125# a_773_409# a_1751_379# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X1 VPWR SET_B a_1960_125# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_1858_463# a_2205_231# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 Q a_2638_53# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VGND a_2638_53# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VPWR a_2638_53# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 VGND a_1960_125# a_2205_231# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_218_119# D a_304_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_1888_125# a_961_491# a_1960_125# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X9 a_1598_125# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 VGND SCE a_346_93# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 VPWR a_2638_53# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 a_1858_463# a_961_491# a_1960_125# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X13 Q a_2638_53# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 a_2638_53# a_1960_125# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 a_1211_463# a_773_409# a_1297_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X16 a_1297_463# a_1339_331# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X17 a_1315_81# a_1339_331# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 a_218_119# a_961_491# a_1211_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X19 VGND SCD a_146_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X20 VPWR a_1211_463# a_1751_379# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X21 Q a_2638_53# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 a_773_409# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X23 a_218_119# a_346_93# a_27_479# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X24 VPWR SCE a_346_93# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X25 a_2163_125# a_2205_231# a_2248_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X26 a_1339_331# SET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X27 VGND a_2638_53# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X28 VPWR SCE a_196_479# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X29 a_1960_125# a_773_409# a_2163_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X30 a_196_479# D a_218_119# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X31 VPWR a_1211_463# a_1339_331# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X32 a_773_409# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X33 a_218_119# a_773_409# a_1211_463# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X34 VGND a_1211_463# a_1888_125# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X35 Q a_2638_53# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X36 a_2638_53# a_1960_125# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X37 a_1211_463# a_961_491# a_1315_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X38 a_1339_331# a_1211_463# a_1598_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X39 a_146_119# SCE a_218_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X40 VGND a_773_409# a_961_491# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X41 a_2248_125# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X42 VPWR a_773_409# a_961_491# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X43 a_27_479# SCD VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X44 a_304_119# a_346_93# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X45 VPWR a_1960_125# a_2205_231# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends