NAME

sdfsbp from sky130_fd_sc_lp

DESCRIPTION

Scan delay flop, inverted set, non-inverted clock, complementary outputs.

FUNCTION

VERILOG

"sky130_fd_sc_lp__sdfsbp"
/*
*/


`ifndef SKY130_FD_SC_LP__SDFSBP_FUNCTIONAL_V
`define SKY130_FD_SC_LP__SDFSBP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dff_ps/sky130_fd_sc_lp__udp_dff_ps.v"
`include "../../models/udp_mux_2to1/sky130_fd_sc_lp__udp_mux_2to1.v"

`celldefine
module sky130_fd_sc_lp__sdfsbp (
    Q    ,
    Q_N  ,
    CLK  ,
    D    ,
    SCD  ,
    SCE  ,
    SET_B
);

    // Module ports
    output Q    ;
    output Q_N  ;
    input  CLK  ;
    input  D    ;
    input  SCD  ;
    input  SCE  ;
    input  SET_B;

    // Local signals
    wire buf_Q  ;
    wire SET    ;
    wire mux_out;

    //                            Delay       Name       Output   Other arguments
    not                                       not0      (SET    , SET_B            );
    sky130_fd_sc_lp__udp_mux_2to1             mux_2to10 (mux_out, D, SCD, SCE      );
    sky130_fd_sc_lp__udp_dff$PS   `UNIT_DELAY dff0      (buf_Q  , mux_out, CLK, SET);
    buf                                       buf0      (Q      , buf_Q            );
    not                                       not1      (Q_N    , buf_Q            );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__SDFSBP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__sdfsbp_1

not to scale



.subckt sky130_fd_sc_lp__sdfsbp_1 CLK D SCD SCE SET_B VGND VNB VPB VPWR Q Q_N
X0 a_2067_92# a_1920_119# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_1575_119# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_1232_463# a_1274_401# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 VPWR SET_B a_1920_119# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_1848_119# a_901_441# a_1920_119# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X5 VPWR a_1146_463# a_1274_401# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_1274_401# a_1146_463# a_1575_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VGND a_640_481# a_901_441# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VGND a_1146_463# a_1848_119# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X9 VGND CLK a_640_481# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_275_481# a_640_481# a_1146_463# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 Q a_2582_150# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 a_275_481# a_901_441# a_1146_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X13 a_2097_118# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 VPWR a_640_481# a_901_441# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X15 a_34_481# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 a_34_481# SCE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X17 a_275_481# a_34_481# a_383_481# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X18 a_383_481# SCD VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X19 VGND a_34_481# a_252_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X20 VPWR a_1146_463# a_1818_379# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X21 a_2025_488# a_2067_92# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X22 VPWR a_1920_119# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X23 a_2025_118# a_2067_92# a_2097_118# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X24 VPWR SCE a_203_481# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X25 a_203_481# D a_275_481# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X26 a_1818_379# a_640_481# a_1920_119# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X27 a_252_47# D a_275_481# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X28 a_1146_463# a_901_441# a_1245_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X29 a_1146_463# a_640_481# a_1232_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X30 VGND a_1920_119# Q_N VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X31 a_275_481# SCE a_478_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X32 a_1920_119# a_901_441# a_2025_488# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X33 a_2067_92# a_1920_119# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X34 Q a_2582_150# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X35 VPWR a_1920_119# a_2582_150# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X36 VPWR CLK a_640_481# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X37 a_1245_119# a_1274_401# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X38 a_1274_401# SET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X39 a_1920_119# a_640_481# a_2025_118# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X40 VGND a_1920_119# a_2582_150# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X41 a_478_47# SCD VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_lp__sdfsbp_2

not to scale


.subckt sky130_fd_sc_lp__sdfsbp_2 CLK D SCD SCE SET_B VGND VNB VPB VPWR Q Q_N
X0 VGND a_2624_49# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 VGND a_629_47# a_920_73# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VGND a_1799_408# a_2624_49# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VGND CLK a_629_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_268_467# a_629_47# a_1163_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 Q_N a_1799_408# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 Q a_2624_49# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 VPWR SET_B a_1799_408# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 Q a_2624_49# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_27_467# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_1735_119# a_920_73# a_1799_408# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X11 VPWR a_1799_408# a_2624_49# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X12 a_268_467# a_27_467# a_376_467# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X13 a_471_47# SCD VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 VGND a_1799_408# Q_N VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 a_2001_119# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 VPWR SCE a_196_467# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X17 a_196_467# D a_268_467# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X18 a_1291_93# a_1163_119# a_1530_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 a_1799_408# a_629_47# a_1929_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X20 VPWR a_1163_119# a_1291_93# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X21 VGND a_27_467# a_268_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X22 Q_N a_1799_408# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X23 a_268_467# a_920_73# a_1163_119# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X24 VPWR a_1799_408# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X25 a_27_467# SCE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X26 a_1799_408# a_920_73# a_1904_492# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X27 a_1904_492# a_1946_369# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X28 a_376_467# SCD VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X29 VPWR a_1163_119# a_1697_379# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X30 a_1697_379# a_629_47# a_1799_408# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X31 a_268_467# SCE a_471_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X32 a_1249_119# a_1291_93# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X33 a_1946_369# a_1799_408# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X34 a_1291_93# SET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X35 a_1946_369# a_1799_408# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X36 VPWR CLK a_629_47# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X37 a_1163_119# a_629_47# a_1275_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X38 a_1163_119# a_920_73# a_1249_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X39 a_1530_119# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X40 VPWR a_629_47# a_920_73# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X41 a_1275_463# a_1291_93# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X42 a_1929_119# a_1946_369# a_2001_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X43 VPWR a_2624_49# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X44 a_268_47# D a_268_467# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X45 VGND a_1163_119# a_1735_119# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
.ends