NAME

sdfrtp from sky130_fd_sc_lp

DESCRIPTION

Scan delay flop, inverted reset, non-inverted clock, single output.

FUNCTION

VERILOG

"sky130_fd_sc_lp__sdfrtp"
/*
*/


`ifndef SKY130_FD_SC_LP__SDFRTP_FUNCTIONAL_V
`define SKY130_FD_SC_LP__SDFRTP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dff_pr/sky130_fd_sc_lp__udp_dff_pr.v"
`include "../../models/udp_mux_2to1/sky130_fd_sc_lp__udp_mux_2to1.v"

`celldefine
module sky130_fd_sc_lp__sdfrtp (
    Q      ,
    CLK    ,
    D      ,
    SCD    ,
    SCE    ,
    RESET_B
);

    // Module ports
    output Q      ;
    input  CLK    ;
    input  D      ;
    input  SCD    ;
    input  SCE    ;
    input  RESET_B;

    // Local signals
    wire buf_Q  ;
    wire RESET  ;
    wire mux_out;

    //                            Delay       Name       Output   Other arguments
    not                                       not0      (RESET  , RESET_B            );
    sky130_fd_sc_lp__udp_mux_2to1             mux_2to10 (mux_out, D, SCD, SCE        );
    sky130_fd_sc_lp__udp_dff$PR   `UNIT_DELAY dff0      (buf_Q  , mux_out, CLK, RESET);
    buf                                       buf0      (Q      , buf_Q              );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__SDFRTP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__sdfrtp_1

not to scale



.subckt sky130_fd_sc_lp__sdfrtp_1 CLK D RESET_B SCD SCE VGND VNB VPB VPWR Q
X0 a_355_463# D a_380_50# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 VPWR RESET_B a_380_50# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 a_937_333# a_865_255# a_1445_69# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X3 a_225_50# a_35_74# a_308_50# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VPWR a_2408_367# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_1818_119# a_1445_69# a_1641_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VPWR CLK a_865_255# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 VGND CLK a_865_255# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_2408_367# a_1445_69# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_380_50# a_865_255# a_809_463# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_35_74# SCE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X11 a_380_50# a_35_74# a_513_463# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X12 a_1599_113# a_1641_21# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 VGND a_2408_367# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 VPWR RESET_B a_809_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X15 a_512_81# SCD a_225_50# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 a_757_317# a_865_255# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 a_1641_21# a_1445_69# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X18 VGND a_809_463# a_937_333# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X19 a_380_50# a_757_317# a_809_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X20 a_1578_533# a_1641_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X21 VPWR RESET_B a_1641_21# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X22 a_809_463# a_757_317# a_991_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X23 VPWR SCE a_355_463# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X24 a_308_50# D a_380_50# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X25 a_757_317# a_865_255# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X26 a_35_74# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X27 a_809_463# a_865_255# a_895_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X28 a_895_463# a_937_333# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X29 VPWR a_809_463# a_937_333# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X30 a_380_50# SCE a_512_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X31 a_225_50# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X32 a_2408_367# a_1445_69# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X33 a_1085_119# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X34 VGND RESET_B a_1818_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X35 a_1445_69# a_757_317# a_1578_533# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X36 a_991_119# a_937_333# a_1085_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X37 a_937_333# a_757_317# a_1445_69# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X38 a_1445_69# a_865_255# a_1599_113# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X39 a_513_463# SCD VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

sky130_fd_sc_lp__sdfrtp_2

not to scale


.subckt sky130_fd_sc_lp__sdfrtp_2 CLK D RESET_B SCD SCE VGND VNB VPB VPWR Q
X0 VPWR a_808_463# a_936_333# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X1 Q a_2431_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_1406_69# a_864_255# a_1593_113# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_225_50# a_35_74# a_308_50# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_380_50# a_864_255# a_808_463# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_1809_119# a_1406_69# a_1635_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_490_468# SCD VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 VPWR RESET_B a_808_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 a_936_333# a_864_255# a_1406_69# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X9 a_512_81# SCD a_225_50# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_332_468# D a_380_50# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X11 VGND CLK a_864_255# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 a_380_50# a_756_265# a_808_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X13 a_2431_47# a_1406_69# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X14 VGND a_808_463# a_936_333# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X15 VPWR RESET_B a_1635_21# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X16 a_808_463# a_756_265# a_991_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 a_308_50# D a_380_50# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 a_225_50# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 a_756_265# a_864_255# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 a_1569_534# a_1635_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X21 a_2431_47# a_1406_69# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X22 VPWR CLK a_864_255# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X23 a_35_74# SCE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X24 a_380_50# a_35_74# a_490_468# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X25 a_35_74# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X26 VGND a_2431_47# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X27 a_808_463# a_864_255# a_894_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X28 a_894_463# a_936_333# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X29 Q a_2431_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X30 a_380_50# SCE a_512_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X31 a_936_333# a_756_265# a_1406_69# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X32 a_1635_21# a_1406_69# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X33 VPWR a_2431_47# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X34 a_1085_119# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X35 a_991_119# a_936_333# a_1085_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X36 a_1593_113# a_1635_21# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X37 VPWR SCE a_332_468# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X38 VGND RESET_B a_1809_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X39 a_1406_69# a_756_265# a_1569_534# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X40 VPWR RESET_B a_380_50# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X41 a_756_265# a_864_255# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__sdfrtp_4

not to scale


.subckt sky130_fd_sc_lp__sdfrtp_4 CLK D RESET_B SCD SCE VGND VNB VPB VPWR Q
X0 VPWR RESET_B a_372_50# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 a_27_74# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_217_50# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VGND a_2555_47# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_300_50# D a_372_50# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_1107_119# a_1047_369# a_1201_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VPWR RESET_B a_1747_21# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 VPWR RESET_B a_881_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 a_1902_119# a_1524_69# a_1747_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_2555_47# a_1524_69# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 VPWR CLK a_975_255# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_372_50# SCE a_504_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 a_1705_113# a_1747_21# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 a_565_463# SCD VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X14 a_1747_21# a_1524_69# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X15 VGND RESET_B a_1902_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 a_1005_463# a_1047_369# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X17 a_217_50# a_27_74# a_300_50# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 a_27_74# SCE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X19 VPWR SCE a_407_463# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X20 a_407_463# D a_372_50# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X21 a_372_50# a_975_255# a_881_463# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X22 Q a_2555_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 a_851_242# a_975_255# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X24 a_1524_69# a_975_255# a_1705_113# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X25 VPWR a_2555_47# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X26 VGND CLK a_975_255# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X27 VGND a_881_463# a_1047_369# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X28 a_1047_369# a_851_242# a_1524_69# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X29 a_1662_533# a_1747_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X30 VPWR a_2555_47# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X31 a_372_50# a_27_74# a_565_463# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X32 a_881_463# a_975_255# a_1005_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X33 VGND a_2555_47# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X34 a_372_50# a_851_242# a_881_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X35 a_881_463# a_851_242# a_1107_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X36 Q a_2555_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X37 Q a_2555_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X38 a_851_242# a_975_255# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X39 a_1047_369# a_975_255# a_1524_69# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X40 VPWR a_881_463# a_1047_369# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X41 a_2555_47# a_1524_69# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X42 Q a_2555_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X43 a_1201_119# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X44 a_504_81# SCD a_217_50# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X45 a_1524_69# a_851_242# a_1662_533# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends