NAME

sdfrbp from sky130_fd_sc_lp

DESCRIPTION

Scan delay flop, inverted reset, non-inverted clock, complementary outputs.

FUNCTION

VERILOG

"sky130_fd_sc_lp__sdfrbp"
/*
*/


`ifndef SKY130_FD_SC_LP__SDFRBP_FUNCTIONAL_V
`define SKY130_FD_SC_LP__SDFRBP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dff_pr/sky130_fd_sc_lp__udp_dff_pr.v"
`include "../../models/udp_mux_2to1/sky130_fd_sc_lp__udp_mux_2to1.v"

`celldefine
module sky130_fd_sc_lp__sdfrbp (
    Q      ,
    Q_N    ,
    CLK    ,
    D      ,
    SCD    ,
    SCE    ,
    RESET_B
);

    // Module ports
    output Q      ;
    output Q_N    ;
    input  CLK    ;
    input  D      ;
    input  SCD    ;
    input  SCE    ;
    input  RESET_B;

    // Local signals
    wire buf_Q  ;
    wire RESET  ;
    wire mux_out;

    //                            Delay       Name       Output   Other arguments
    not                                       not0      (RESET  , RESET_B            );
    sky130_fd_sc_lp__udp_mux_2to1             mux_2to10 (mux_out, D, SCD, SCE        );
    sky130_fd_sc_lp__udp_dff$PR   `UNIT_DELAY dff0      (buf_Q  , mux_out, CLK, RESET);
    buf                                       buf0      (Q      , buf_Q              );
    not                                       not1      (Q_N    , buf_Q              );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__SDFRBP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__sdfrbp_1

not to scale



.subckt sky130_fd_sc_lp__sdfrbp_1 CLK D RESET_B SCD SCE VGND VNB VPB VPWR Q Q_N
X0 a_367_491# a_27_75# a_453_491# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 VGND a_1812_379# Q_N VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_1953_496# a_2002_42# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_1024_367# a_840_119# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VPWR RESET_B a_367_491# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 VGND CLK a_840_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_1812_379# a_1024_367# a_1953_496# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 a_453_491# SCD VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X8 a_1374_362# a_840_119# a_1812_379# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X9 a_300_75# D a_367_491# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_1246_463# a_1024_367# a_1430_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 VPWR a_840_119# a_1024_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 VGND a_840_119# a_1024_367# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 VGND a_1812_379# a_2352_327# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 a_1332_463# a_1374_362# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X15 VGND a_1246_463# a_1374_362# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X16 a_1374_362# a_1024_367# a_1812_379# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X17 a_217_75# a_27_75# a_300_75# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 a_840_119# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 VPWR RESET_B a_2002_42# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X20 a_1502_119# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X21 Q a_2352_327# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X22 VPWR RESET_B a_1246_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X23 a_27_75# SCE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X24 a_840_119# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X25 a_27_75# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X26 a_367_491# SCE a_488_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X27 a_367_491# a_1024_367# a_1246_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X28 a_1430_119# a_1374_362# a_1502_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X29 a_217_75# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X30 a_2002_42# a_1812_379# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X31 VPWR a_1812_379# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X32 a_2138_68# a_1812_379# a_2002_42# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X33 VPWR SCE a_295_491# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X34 a_295_491# D a_367_491# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X35 Q a_2352_327# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X36 a_1246_463# a_840_119# a_1332_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X37 VPWR a_1812_379# a_2352_327# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X38 a_1812_379# a_840_119# a_1960_68# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X39 VGND RESET_B a_2138_68# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X40 a_488_81# SCD a_217_75# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X41 VPWR a_1246_463# a_1374_362# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X42 a_367_491# a_840_119# a_1246_463# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X43 a_1960_68# a_2002_42# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_lp__sdfrbp_2

not to scale


.subckt sky130_fd_sc_lp__sdfrbp_2 CLK D RESET_B SCD SCE VGND VNB VPB VPWR Q Q_N
X0 a_1162_463# a_934_367# a_1349_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_759_119# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 VGND a_759_119# a_934_367# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VGND a_1770_412# Q_N VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VGND a_1770_412# a_2516_367# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 Q_N a_1770_412# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_359_489# SCE a_486_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 Q_N a_1770_412# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VPWR RESET_B a_1923_174# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 a_759_119# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_1879_68# a_1923_174# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_359_489# a_27_81# a_445_489# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X12 a_486_81# SCD a_240_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 a_359_489# a_759_119# a_1162_463# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 a_1885_496# a_1923_174# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X15 a_240_81# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 a_1770_412# a_759_119# a_1879_68# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 a_27_81# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 VPWR a_759_119# a_934_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 VGND a_2516_367# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 a_1770_412# a_934_367# a_1885_496# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X21 a_1248_463# a_1290_365# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X22 a_1923_174# a_1770_412# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X23 VPWR a_1162_463# a_1290_365# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X24 a_1290_365# a_759_119# a_1770_412# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X25 a_323_81# D a_359_489# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X26 VPWR a_1770_412# a_2516_367# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X27 a_2067_68# a_1770_412# a_1923_174# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X28 VPWR RESET_B a_1162_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X29 a_1162_463# a_759_119# a_1248_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X30 a_1290_365# a_934_367# a_1770_412# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X31 Q a_2516_367# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X32 a_359_489# a_934_367# a_1162_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X33 Q a_2516_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X34 a_1421_119# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X35 VPWR a_2516_367# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X36 VPWR RESET_B a_359_489# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X37 VPWR a_1770_412# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X38 a_445_489# SCD VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X39 VGND CLK a_759_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X40 a_240_81# a_27_81# a_323_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X41 a_934_367# a_759_119# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X42 a_1349_119# a_1290_365# a_1421_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X43 VGND a_1162_463# a_1290_365# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X44 VPWR SCE a_287_489# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X45 a_287_489# D a_359_489# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X46 VGND RESET_B a_2067_68# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X47 a_27_81# SCE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends