NAME

or4b from sky130_fd_sc_lp

DESCRIPTION

4-input OR, first input inverted.

FUNCTION

VERILOG

"sky130_fd_sc_lp__or4b"
/*
*/


`ifndef SKY130_FD_SC_LP__OR4B_FUNCTIONAL_V
`define SKY130_FD_SC_LP__OR4B_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__or4b (
    X  ,
    A  ,
    B  ,
    C  ,
    D_N
);

    // Module ports
    output X  ;
    input  A  ;
    input  B  ;
    input  C  ;
    input  D_N;

    // Local signals
    wire not0_out ;
    wire or0_out_X;

    //  Name  Output     Other arguments
    not not0 (not0_out , D_N              );
    or  or0  (or0_out_X, not0_out, C, B, A);
    buf buf0 (X        , or0_out_X        );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__OR4B_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__or4b_m

not to scale



.subckt sky130_fd_sc_lp__or4b_m A B C D_N VGND VNB VPB VPWR X
X0 a_215_125# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_38_125# D_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_215_125# a_38_125# a_338_397# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 VGND a_38_125# a_215_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_38_125# D_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VGND B a_215_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_215_125# C VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VGND a_215_125# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VPWR a_215_125# X VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 a_338_397# C a_410_397# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 a_410_397# B a_482_397# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X11 a_482_397# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__or4b_1

not to scale



.subckt sky130_fd_sc_lp__or4b_1 A B C D_N VGND VNB VPB VPWR X
X0 a_483_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_220_367# a_64_131# a_303_367# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 VGND a_64_131# a_220_367# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_220_367# C VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VGND B a_220_367# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_303_367# C a_397_367# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 VPWR a_220_367# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 VGND a_220_367# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_220_367# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_64_131# D_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_64_131# D_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X11 a_397_367# B a_483_367# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

sky130_fd_sc_lp__or4b_2

not to scale


.subckt sky130_fd_sc_lp__or4b_2 A B C D_N VGND VNB VPB VPWR X
X0 VPWR A a_436_385# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 X a_189_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_508_385# C a_616_385# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_616_385# a_31_131# a_189_21# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_436_385# B a_508_385# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 VGND C a_189_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_31_131# D_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 VGND a_189_21# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VGND A a_189_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 X a_189_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_189_21# a_31_131# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_189_21# B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 a_31_131# D_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 VPWR a_189_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__or4b_4

not to scale


.subckt sky130_fd_sc_lp__or4b_4 A B C D_N VGND VNB VPB VPWR X
X0 X a_83_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 VGND C a_83_21# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_659_367# a_737_315# a_83_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VGND D_N a_737_315# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VGND a_83_21# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_83_21# a_737_315# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 X a_83_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_551_367# C a_659_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VPWR A a_479_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 VPWR a_83_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 VPWR D_N a_737_315# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X11 VPWR a_83_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 VGND A a_83_21# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_83_21# B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 a_479_367# B a_551_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 X a_83_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 X a_83_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 VGND a_83_21# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends