NAME

or4 from sky130_fd_sc_lp

DESCRIPTION

4-input OR.

FUNCTION

VERILOG

"sky130_fd_sc_lp__or4"
/*
*/


`ifndef SKY130_FD_SC_LP__OR4_FUNCTIONAL_V
`define SKY130_FD_SC_LP__OR4_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__or4 (
    X,
    A,
    B,
    C,
    D
);

    // Module ports
    output X;
    input  A;
    input  B;
    input  C;
    input  D;

    // Local signals
    wire or0_out_X;

    //  Name  Output     Other arguments
    or  or0  (or0_out_X, D, C, B, A     );
    buf buf0 (X        , or0_out_X      );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__OR4_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__or4_m

not to scale



.subckt sky130_fd_sc_lp__or4_m A B C D VGND VNB VPB VPWR X
X0 a_116_397# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_343_397# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_116_397# C VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_116_397# D a_199_397# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 VGND D a_116_397# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_199_397# C a_271_397# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_271_397# B a_343_397# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 VGND a_116_397# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VPWR a_116_397# X VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 VGND B a_116_397# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__or4_0

not to scale



.subckt sky130_fd_sc_lp__or4_0 A B C D VGND VNB VPB VPWR X
X0 VGND a_54_482# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_137_482# C a_227_482# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_54_482# D a_137_482# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_54_482# C VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VGND B a_54_482# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_54_482# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_227_482# B a_317_482# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 a_317_482# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 VPWR a_54_482# X VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X9 VGND D a_54_482# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__or4_1

not to scale



.subckt sky130_fd_sc_lp__or4_1 A B C D VGND VNB VPB VPWR X
X0 a_123_480# C a_195_480# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_195_480# B a_267_480# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_267_480# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_40_480# D a_123_480# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 VGND D a_40_480# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_40_480# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_40_480# C VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VGND B a_40_480# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VGND a_40_480# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 VPWR a_40_480# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__or4_2

not to scale


.subckt sky130_fd_sc_lp__or4_2 A B C D VGND VNB VPB VPWR X
X0 a_227_367# B a_335_367# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_72_367# D a_155_367# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 VPWR a_72_367# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VGND a_72_367# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 X a_72_367# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 X a_72_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_155_367# C a_227_367# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 VGND B a_72_367# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_72_367# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VGND D a_72_367# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_72_367# C VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_335_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

sky130_fd_sc_lp__or4_4

not to scale


.subckt sky130_fd_sc_lp__or4_4 A B C D VGND VNB VPB VPWR X
X0 VGND a_58_367# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 X a_58_367# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_58_367# D a_141_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_321_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VPWR a_58_367# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 X a_58_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 VGND D a_58_367# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 X a_58_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_213_367# B a_321_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_141_367# C a_213_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 a_58_367# A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 VGND a_58_367# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 X a_58_367# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 VPWR a_58_367# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 a_58_367# C VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 VGND B a_58_367# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends