NAME

or3 from sky130_fd_sc_lp

DESCRIPTION

3-input OR.

FUNCTION

VERILOG

"sky130_fd_sc_lp__or3"
/*
*/


`ifndef SKY130_FD_SC_LP__OR3_FUNCTIONAL_V
`define SKY130_FD_SC_LP__OR3_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__or3 (
    X,
    A,
    B,
    C
);

    // Module ports
    output X;
    input  A;
    input  B;
    input  C;

    // Local signals
    wire or0_out_X;

    //  Name  Output     Other arguments
    or  or0  (or0_out_X, B, A, C        );
    buf buf0 (X        , or0_out_X      );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__OR3_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__or3_m

not to scale



.subckt sky130_fd_sc_lp__or3_m A B C VGND VNB VPB VPWR X
X0 a_288_397# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 VGND B a_43_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_43_47# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_43_47# C VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VPWR a_43_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_43_47# C a_216_397# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_216_397# B a_288_397# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 VGND a_43_47# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__or3_0

not to scale



.subckt sky130_fd_sc_lp__or3_0 A B C VGND VNB VPB VPWR X
X0 a_29_55# C VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VGND B a_29_55# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_29_55# C a_191_481# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_191_481# B a_263_481# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_263_481# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_29_55# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VGND a_29_55# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VPWR a_29_55# X VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__or3_1

not to scale



.subckt sky130_fd_sc_lp__or3_1 A B C VGND VNB VPB VPWR X
X0 a_245_462# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_47_47# C a_157_462# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 VGND a_47_47# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_157_462# B a_245_462# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 VGND B a_47_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_47_47# C VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_47_47# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VPWR a_47_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__or3_2

not to scale


.subckt sky130_fd_sc_lp__or3_2 A B C VGND VNB VPB VPWR X
X0 a_132_367# B a_207_367# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_207_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 VGND B a_35_60# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VGND a_35_60# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 X a_35_60# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_35_60# C a_132_367# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_35_60# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 X a_35_60# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VPWR a_35_60# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_35_60# C VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_lp__or3_4

not to scale


.subckt sky130_fd_sc_lp__or3_4 A B C VGND VNB VPB VPWR X
X0 X a_77_49# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_77_49# C VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VGND B a_77_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_77_49# A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VPWR a_77_49# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_232_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_77_49# C a_160_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_160_367# B a_232_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VGND a_77_49# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 X a_77_49# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 VPWR a_77_49# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 X a_77_49# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 VGND a_77_49# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 X a_77_49# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends