NAME

o41a from sky130_fd_sc_lp

DESCRIPTION

4-input OR into 2-input AND.

FUNCTION

X = ((A1 | A2 | A3 | A4) & B1)

VERILOG

"sky130_fd_sc_lp__o41a"
/*
*/


`ifndef SKY130_FD_SC_LP__O41A_FUNCTIONAL_V
`define SKY130_FD_SC_LP__O41A_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__o41a (
    X ,
    A1,
    A2,
    A3,
    A4,
    B1
);

    // Module ports
    output X ;
    input  A1;
    input  A2;
    input  A3;
    input  A4;
    input  B1;

    // Local signals
    wire or0_out   ;
    wire and0_out_X;

    //  Name  Output      Other arguments
    or  or0  (or0_out   , A4, A3, A2, A1 );
    and and0 (and0_out_X, or0_out, B1    );
    buf buf0 (X         , and0_out_X     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__O41A_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__o41a_m

not to scale



.subckt sky130_fd_sc_lp__o41a_m A1 A2 A3 A4 B1 VGND VNB VPB VPWR X
X0 a_300_51# A4 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_444_535# A2 a_516_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_516_535# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 X a_80_21# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VGND A3 a_300_51# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 X a_80_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_300_51# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VGND A1 a_300_51# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VPWR B1 a_80_21# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 a_80_21# A4 a_329_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 a_329_535# A3 a_444_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X11 a_80_21# B1 a_300_51# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o41a_0

not to scale



.subckt sky130_fd_sc_lp__o41a_0 A1 A2 A3 A4 B1 VGND VNB VPB VPWR X
X0 a_319_51# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_513_483# A2 a_603_483# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 a_603_483# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 a_80_21# B1 a_319_51# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_319_51# A4 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_80_21# A4 a_423_483# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X6 X a_80_21# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_423_483# A3 a_513_483# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X8 VGND A1 a_319_51# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 X a_80_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X10 VPWR B1 a_80_21# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X11 VGND A3 a_319_51# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o41a_1

not to scale



.subckt sky130_fd_sc_lp__o41a_1 A1 A2 A3 A4 B1 VGND VNB VPB VPWR X
X0 VPWR B1 a_155_23# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_155_23# A4 a_447_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 X a_155_23# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 X a_155_23# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_447_367# A3 a_573_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VGND A3 a_375_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_375_49# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 VGND A1 a_375_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_663_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_155_23# B1 a_375_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_375_49# A4 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 a_573_367# A2 a_663_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__o41a_2

not to scale


.subckt sky130_fd_sc_lp__o41a_2 A1 A2 A3 A4 B1 VGND VNB VPB VPWR X
X0 X a_102_53# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_753_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 VGND a_102_53# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_645_367# A2 a_753_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VPWR B1 a_102_53# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VPWR a_102_53# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 VGND A3 a_465_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_102_53# A4 a_573_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_573_367# A3 a_645_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_465_49# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 VGND A1 a_465_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 a_102_53# B1 a_465_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 a_465_49# A4 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 X a_102_53# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__o41a_4

not to scale


.subckt sky130_fd_sc_lp__o41a_4 A1 A2 A3 A4 B1 VGND VNB VPB VPWR X
X0 a_480_47# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 VGND A3 a_480_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_83_23# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VPWR A1 a_1108_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_1108_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_83_23# B1 a_480_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VGND A2 a_480_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_480_47# A4 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VGND a_83_23# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 X a_83_23# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 VPWR a_83_23# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_480_47# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 VGND A1 a_480_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 VPWR a_83_23# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 a_652_345# A3 a_907_345# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 a_83_23# A4 a_652_345# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 VGND A4 a_480_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 X a_83_23# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 VPWR B1 a_83_23# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 a_907_345# A3 a_652_345# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 X a_83_23# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X21 a_652_345# A4 a_83_23# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X22 a_907_345# A2 a_1108_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X23 VGND a_83_23# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X24 a_480_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X25 a_1108_367# A2 a_907_345# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X26 X a_83_23# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X27 a_480_47# B1 a_83_23# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends