NAME

o32ai from sky130_fd_sc_lp

DESCRIPTION

3-input OR and 2-input OR into 2-input NAND.

FUNCTION

Y = !((A1 | A2 | A3) & (B1 | B2))

VERILOG

"sky130_fd_sc_lp__o32ai"
/*
*/


`ifndef SKY130_FD_SC_LP__O32AI_FUNCTIONAL_V
`define SKY130_FD_SC_LP__O32AI_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__o32ai (
    Y ,
    A1,
    A2,
    A3,
    B1,
    B2
);

    // Module ports
    output Y ;
    input  A1;
    input  A2;
    input  A3;
    input  B1;
    input  B2;

    // Local signals
    wire nor0_out ;
    wire nor1_out ;
    wire or0_out_Y;

    //  Name  Output     Other arguments
    nor nor0 (nor0_out , A3, A1, A2        );
    nor nor1 (nor1_out , B1, B2            );
    or  or0  (or0_out_Y, nor1_out, nor0_out);
    buf buf0 (Y        , or0_out_Y         );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__O32AI_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__o32ai_m

not to scale



.subckt sky130_fd_sc_lp__o32ai_m A1 A2 A3 B1 B2 VGND VNB VPB VPWR Y
X0 VGND A2 a_66_82# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_66_82# B1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 Y B2 a_66_82# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_431_535# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_66_82# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VPWR B1 a_179_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_66_82# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_337_535# A2 a_431_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 Y A3 a_337_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 a_179_535# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o32ai_0

not to scale



.subckt sky130_fd_sc_lp__o32ai_0 A1 A2 A3 B1 B2 VGND VNB VPB VPWR Y
X0 a_33_82# B1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 Y B2 a_33_82# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_33_82# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 Y A3 a_325_491# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 VGND A2 a_33_82# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_33_82# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_325_491# A2 a_439_491# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 VPWR B1 a_133_491# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X8 a_439_491# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X9 a_133_491# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o32ai_1

not to scale



.subckt sky130_fd_sc_lp__o32ai_1 A1 A2 A3 B1 B2 VGND VNB VPB VPWR Y
X0 Y B2 a_76_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_76_69# B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VPWR B1 a_159_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_399_367# A2 a_489_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VGND A2 a_76_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_76_69# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_159_367# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_489_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 Y A3 a_399_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_76_69# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__o32ai_2

not to scale


.subckt sky130_fd_sc_lp__o32ai_2 A1 A2 A3 B1 B2 VGND VNB VPB VPWR Y
X0 a_778_365# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 Y B2 a_39_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 VGND A1 a_39_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_39_367# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VGND A2 a_39_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_519_365# A3 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_39_65# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_39_367# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_39_65# B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_519_365# A2 a_778_365# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 Y B1 a_39_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 VGND A3 a_39_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 Y A3 a_519_365# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 Y B2 a_39_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 a_39_65# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 VPWR B1 a_39_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 a_778_365# A2 a_519_365# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 VPWR A1 a_778_365# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 a_39_65# B2 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 a_39_65# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__o32ai_4

not to scale


.subckt sky130_fd_sc_lp__o32ai_4 A1 A2 A3 B1 B2 VGND VNB VPB VPWR Y
X0 Y B2 a_30_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 VGND A2 a_30_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VPWR A1 a_1256_349# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_30_47# B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_1256_349# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 Y B1 a_30_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_30_47# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_30_47# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_30_47# B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_1256_349# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 a_829_349# A2 a_1256_349# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_30_47# B2 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 Y B1 a_30_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 Y B2 a_30_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 a_829_349# A3 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 VGND A3 a_30_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 Y A3 a_829_349# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 a_30_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 a_30_47# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 a_829_349# A3 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 VGND A2 a_30_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X21 VGND A1 a_30_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 VPWR B1 a_30_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X23 VGND A3 a_30_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X24 a_30_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X25 a_30_367# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X26 a_30_367# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X27 a_1256_349# A2 a_829_349# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X28 a_30_367# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X29 Y A3 a_829_349# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X30 a_829_349# A2 a_1256_349# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X31 a_30_367# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X32 a_30_47# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X33 VPWR B1 a_30_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X34 VGND A1 a_30_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X35 VPWR A1 a_1256_349# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X36 Y B2 a_30_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X37 a_1256_349# A2 a_829_349# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X38 Y B2 a_30_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X39 a_30_47# B2 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends