NAME

o32a from sky130_fd_sc_lp

DESCRIPTION

3-input OR and 2-input OR into 2-input AND.

FUNCTION

X = ((A1 | A2 | A3) & (B1 | B2))

VERILOG

"sky130_fd_sc_lp__o32a"
/*
*/


`ifndef SKY130_FD_SC_LP__O32A_FUNCTIONAL_V
`define SKY130_FD_SC_LP__O32A_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__o32a (
    X ,
    A1,
    A2,
    A3,
    B1,
    B2
);

    // Module ports
    output X ;
    input  A1;
    input  A2;
    input  A3;
    input  B1;
    input  B2;

    // Local signals
    wire or0_out   ;
    wire or1_out   ;
    wire and0_out_X;

    //  Name  Output      Other arguments
    or  or0  (or0_out   , A2, A1, A3      );
    or  or1  (or1_out   , B2, B1          );
    and and0 (and0_out_X, or0_out, or1_out);
    buf buf0 (X         , and0_out_X      );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__O32A_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__o32a_m

not to scale



.subckt sky130_fd_sc_lp__o32a_m A1 A2 A3 B1 B2 VGND VNB VPB VPWR X
X0 a_321_403# A3 a_86_55# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_566_403# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_249_403# A2 a_321_403# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_86_55# B1 a_249_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 X a_86_55# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 X a_86_55# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 VGND A1 a_249_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VPWR A1 a_249_403# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 a_249_81# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_86_55# B2 a_566_403# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 VGND A3 a_249_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_249_81# B2 a_86_55# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o32a_0

not to scale



.subckt sky130_fd_sc_lp__o32a_0 A1 A2 A3 B1 B2 VGND VNB VPB VPWR X
X0 X a_97_309# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VGND A1 a_271_85# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 X a_97_309# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 a_97_309# B1 a_271_85# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_559_481# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 a_379_481# A3 a_97_309# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X6 a_271_85# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VPWR A1 a_301_481# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X8 VGND A3 a_271_85# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_271_85# B2 a_97_309# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_97_309# B2 a_559_481# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X11 a_301_481# A2 a_379_481# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o32a_1

not to scale



.subckt sky130_fd_sc_lp__o32a_1 A1 A2 A3 B1 B2 VGND VNB VPB VPWR X
X0 X a_88_269# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_88_269# B1 a_250_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VPWR A1 a_264_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VGND A3 a_250_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_250_69# B2 a_88_269# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VGND A1 a_250_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_358_367# A3 a_88_269# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 X a_88_269# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_264_367# A2 a_358_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_250_69# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_88_269# B2 a_604_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_604_367# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__o32a_2

not to scale


.subckt sky130_fd_sc_lp__o32a_2 A1 A2 A3 B1 B2 VGND VNB VPB VPWR X
X0 a_355_367# A2 a_427_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 X a_85_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_85_21# B1 a_341_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_643_367# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_341_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_85_21# B2 a_643_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 VGND A3 a_341_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_427_367# A3 a_85_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VPWR A1 a_355_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 VGND A1 a_341_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 VPWR a_85_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_341_47# B2 a_85_21# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 X a_85_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 VGND a_85_21# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__o32a_4

not to scale


.subckt sky130_fd_sc_lp__o32a_4 A1 A2 A3 B1 B2 VGND VNB VPB VPWR X
X0 a_823_367# B2 a_547_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 X a_547_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 VGND A2 a_44_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 VPWR B1 a_823_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_547_367# B2 a_44_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_823_367# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_112_367# A2 a_195_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 X a_547_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VPWR a_547_367# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_112_367# A3 a_547_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 a_195_367# A2 a_112_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 VGND a_547_367# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 VPWR A1 a_195_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 X a_547_367# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 a_195_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 a_547_367# B1 a_44_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 VGND a_547_367# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 a_44_65# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 VGND A3 a_44_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 a_547_367# A3 a_112_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 VGND A1 a_44_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X21 a_44_65# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 a_44_65# B1 a_547_367# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 X a_547_367# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X24 a_547_367# B2 a_823_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X25 VPWR a_547_367# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X26 a_44_65# B2 a_547_367# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X27 a_44_65# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends