NAME

o31ai from sky130_fd_sc_lp

DESCRIPTION

3-input OR into 2-input NAND.

FUNCTION

Y = !((A1 | A2 | A3) & B1)

VERILOG

"sky130_fd_sc_lp__o31ai"
/*
*/


`ifndef SKY130_FD_SC_LP__O31AI_FUNCTIONAL_V
`define SKY130_FD_SC_LP__O31AI_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__o31ai (
    Y ,
    A1,
    A2,
    A3,
    B1
);

    // Module ports
    output Y ;
    input  A1;
    input  A2;
    input  A3;
    input  B1;

    // Local signals
    wire or0_out    ;
    wire nand0_out_Y;

    //   Name   Output       Other arguments
    or   or0   (or0_out    , A2, A1, A3     );
    nand nand0 (nand0_out_Y, B1, or0_out    );
    buf  buf0  (Y          , nand0_out_Y    );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__O31AI_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__o31ai_m

not to scale



.subckt sky130_fd_sc_lp__o31ai_m A1 A2 A3 B1 VGND VNB VPB VPWR Y
X0 a_154_535# A2 a_226_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_226_535# A3 Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 VGND A1 a_126_129# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VGND A3 a_126_129# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 Y B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_126_129# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_126_129# B1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VPWR A1 a_154_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o31ai_0

not to scale



.subckt sky130_fd_sc_lp__o31ai_0 A1 A2 A3 B1 VGND VNB VPB VPWR Y
X0 Y B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 a_138_65# B1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_224_483# A3 Y VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 a_138_65# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VGND A3 a_138_65# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VPWR A1 a_146_483# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X6 a_146_483# A2 a_224_483# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 VGND A1 a_138_65# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o31ai_1

not to scale



.subckt sky130_fd_sc_lp__o31ai_1 A1 A2 A3 B1 VGND VNB VPB VPWR Y
X0 Y B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_182_367# A3 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 VGND A1 a_110_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_110_367# A2 a_182_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VPWR A1 a_110_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_110_47# B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VGND A3 a_110_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_110_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__o31ai_2

not to scale


.subckt sky130_fd_sc_lp__o31ai_2 A1 A2 A3 B1 VGND VNB VPB VPWR Y
X0 VGND A2 a_58_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_58_65# B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_44_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 Y B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VGND A1 a_58_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 Y B1 a_58_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 Y A3 a_299_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_58_65# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_44_367# A2 a_299_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 VPWR A1 a_44_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 a_58_65# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 VPWR B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 a_58_65# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 VGND A3 a_58_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 a_299_367# A2 a_44_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 a_299_367# A3 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__o31ai_4

not to scale


.subckt sky130_fd_sc_lp__o31ai_4 A1 A2 A3 B1 VGND VNB VPB VPWR Y
X0 VGND A3 a_132_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 Y A3 a_49_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 VGND A3 a_132_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 Y B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VPWR A1 a_132_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VGND A2 a_132_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VGND A1 a_132_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 VPWR B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_132_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 VPWR A1 a_132_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 a_132_47# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 a_132_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 a_49_367# A2 a_132_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 Y B1 a_132_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 Y B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 VGND A2 a_132_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 a_132_47# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 a_132_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 a_49_367# A2 a_132_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 a_49_367# A3 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 Y A3 a_49_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 a_132_367# A2 a_49_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X22 a_132_47# B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 a_132_367# A2 a_49_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X24 a_49_367# A3 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X25 VPWR B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X26 a_132_47# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X27 a_132_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X28 VGND A1 a_132_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X29 Y B1 a_132_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X30 a_132_47# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X31 a_132_47# B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends