NAME

o31a from sky130_fd_sc_lp

DESCRIPTION

3-input OR into 2-input AND.

FUNCTION

X = ((A1 | A2 | A3) & B1)

VERILOG

"sky130_fd_sc_lp__o31a"
/*
*/


`ifndef SKY130_FD_SC_LP__O31A_FUNCTIONAL_V
`define SKY130_FD_SC_LP__O31A_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__o31a (
    X ,
    A1,
    A2,
    A3,
    B1
);

    // Module ports
    output X ;
    input  A1;
    input  A2;
    input  A3;
    input  B1;

    // Local signals
    wire or0_out   ;
    wire and0_out_X;

    //  Name  Output      Other arguments
    or  or0  (or0_out   , A2, A1, A3     );
    and and0 (and0_out_X, or0_out, B1    );
    buf buf0 (X         , and0_out_X     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__O31A_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__o31a_m

not to scale



.subckt sky130_fd_sc_lp__o31a_m A1 A2 A3 B1 VGND VNB VPB VPWR X
X0 a_239_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_95_153# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 X a_95_153# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_311_397# A3 a_95_153# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 X a_95_153# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VGND A1 a_239_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VGND A3 a_239_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_239_397# A2 a_311_397# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 VPWR A1 a_239_397# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 a_239_47# B1 a_95_153# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o31a_0

not to scale



.subckt sky130_fd_sc_lp__o31a_0 A1 A2 A3 B1 VGND VNB VPB VPWR X
X0 a_270_481# A2 a_354_481# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 VGND A3 a_270_55# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_270_55# B1 a_90_309# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VPWR A1 a_270_481# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 X a_90_309# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VGND A1 a_270_55# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_270_55# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 X a_90_309# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X8 a_354_481# A3 a_90_309# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X9 a_90_309# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o31a_1

not to scale



.subckt sky130_fd_sc_lp__o31a_1 A1 A2 A3 B1 VGND VNB VPB VPWR X
X0 VGND A1 a_275_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_275_367# A2 a_367_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 X a_86_23# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 X a_86_23# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_86_23# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_275_49# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_367_367# A3 a_86_23# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 VPWR A1 a_275_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VGND A3 a_275_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_275_49# B1 a_86_23# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__o31a_2

not to scale


.subckt sky130_fd_sc_lp__o31a_2 A1 A2 A3 B1 VGND VNB VPB VPWR X
X0 a_355_47# B1 a_85_21# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_355_367# A2 a_427_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 X a_85_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 X a_85_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VGND A1 a_355_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VPWR a_85_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_355_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_85_21# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VGND A3 a_355_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_427_367# A3 a_85_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 VPWR A1 a_355_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 VGND a_85_21# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__o31a_4

not to scale


.subckt sky130_fd_sc_lp__o31a_4 A1 A2 A3 B1 VGND VNB VPB VPWR X
X0 a_975_367# A2 a_720_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_101_23# B1 a_528_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_528_65# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 X a_101_23# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VGND a_101_23# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VGND A1 a_528_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 X a_101_23# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_101_23# A3 a_720_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_720_367# A3 a_101_23# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_528_65# B1 a_101_23# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 VGND A3 a_528_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 VGND a_101_23# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 a_528_65# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_720_367# A2 a_975_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 X a_101_23# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 a_528_65# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 VGND A2 a_528_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 VPWR a_101_23# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 VPWR A1 a_975_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 a_975_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 VPWR a_101_23# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 a_101_23# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X22 X a_101_23# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X23 VPWR B1 a_101_23# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends