NAME

o2bb2ai from sky130_fd_sc_lp

DESCRIPTION

2-input NAND and 2-input OR into 2-input NAND.

FUNCTION

Y = !(!(A1 & A2) & (B1 | B2))

VERILOG

"sky130_fd_sc_lp__o2bb2ai"
/*
*/


`ifndef SKY130_FD_SC_LP__O2BB2AI_FUNCTIONAL_V
`define SKY130_FD_SC_LP__O2BB2AI_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__o2bb2ai (
    Y   ,
    A1_N,
    A2_N,
    B1  ,
    B2
);

    // Module ports
    output Y   ;
    input  A1_N;
    input  A2_N;
    input  B1  ;
    input  B2  ;

    // Local signals
    wire nand0_out  ;
    wire or0_out    ;
    wire nand1_out_Y;

    //   Name   Output       Other arguments
    nand nand0 (nand0_out  , A2_N, A1_N        );
    or   or0   (or0_out    , B2, B1            );
    nand nand1 (nand1_out_Y, nand0_out, or0_out);
    buf  buf0  (Y          , nand1_out_Y       );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__O2BB2AI_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__o2bb2ai_m

not to scale



.subckt sky130_fd_sc_lp__o2bb2ai_m A1_N A2_N B1 B2 VGND VNB VPB VPWR Y
X0 a_110_535# A2_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 VPWR A1_N a_110_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 Y B2 a_390_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_390_535# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 Y a_110_535# a_410_78# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_116_81# A2_N a_110_535# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VGND A1_N a_116_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_410_78# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VGND B1 a_410_78# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VPWR a_110_535# Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o2bb2ai_0

not to scale



.subckt sky130_fd_sc_lp__o2bb2ai_0 A1_N A2_N B1 B2 VGND VNB VPB VPWR Y
X0 a_486_483# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 a_195_56# A2_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 a_117_56# A2_N a_195_56# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 Y B2 a_486_483# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 VGND A1_N a_117_56# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_400_47# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VPWR a_195_56# Y VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 VGND B1 a_400_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 Y a_195_56# a_400_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VPWR A1_N a_195_56# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o2bb2ai_1

not to scale



.subckt sky130_fd_sc_lp__o2bb2ai_1 A1_N A2_N B1 B2 VGND VNB VPB VPWR Y
X0 a_115_52# A2_N a_115_367# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 VGND A1_N a_115_52# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VGND B1 a_396_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 Y a_115_367# a_396_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_396_47# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VPWR a_115_367# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_504_367# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 Y B2 a_504_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VPWR A1_N a_115_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_115_367# A2_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__o2bb2ai_2

not to scale


.subckt sky130_fd_sc_lp__o2bb2ai_2 A1_N A2_N B1 B2 VGND VNB VPB VPWR Y
X0 VGND A1_N a_125_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_765_367# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 Y B2 a_765_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VPWR B1 a_765_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VPWR a_125_367# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VPWR A1_N a_125_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_125_69# A2_N a_125_367# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_502_69# a_125_367# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_765_367# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 VPWR A2_N a_125_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 Y a_125_367# a_502_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 VGND B1 a_502_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 a_125_367# A2_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 Y a_125_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 a_502_69# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 a_125_367# A2_N a_125_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 a_125_69# A1_N VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 a_125_367# A1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 VGND B2 a_502_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 a_502_69# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__o2bb2ai_4

not to scale


.subckt sky130_fd_sc_lp__o2bb2ai_4 A1_N A2_N B1 B2 VGND VNB VPB VPWR Y
X0 a_804_39# A2_N a_1235_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 VGND B2 a_35_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 Y a_804_39# a_35_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_1235_65# A2_N a_804_39# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VPWR a_804_39# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_35_65# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VPWR B1 a_132_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 Y B2 a_132_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_35_65# a_804_39# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 VPWR A1_N a_804_39# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 a_1235_65# A1_N VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 VPWR A2_N a_804_39# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 a_804_39# A2_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 a_35_65# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 VGND B2 a_35_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 VGND A1_N a_1235_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 VPWR B1 a_132_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 a_35_65# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 a_132_367# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 a_1235_65# A1_N VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 VGND B1 a_35_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X21 a_804_39# A2_N a_1235_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 a_804_39# A1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X23 VPWR A1_N a_804_39# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X24 a_804_39# A2_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X25 a_132_367# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X26 VGND A1_N a_1235_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X27 VGND B1 a_35_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X28 a_1235_65# A2_N a_804_39# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X29 Y a_804_39# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X30 a_35_65# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X31 a_35_65# a_804_39# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X32 VPWR a_804_39# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X33 Y a_804_39# a_35_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X34 Y a_804_39# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X35 a_132_367# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X36 a_804_39# A1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X37 a_132_367# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X38 VPWR A2_N a_804_39# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X39 Y B2 a_132_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends