NAME

o2bb2a from sky130_fd_sc_lp

DESCRIPTION

2-input NAND and 2-input OR into 2-input AND.

FUNCTION

X = (!(A1 & A2) & (B1 | B2))

VERILOG

"sky130_fd_sc_lp__o2bb2a"
/*
*/


`ifndef SKY130_FD_SC_LP__O2BB2A_FUNCTIONAL_V
`define SKY130_FD_SC_LP__O2BB2A_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__o2bb2a (
    X   ,
    A1_N,
    A2_N,
    B1  ,
    B2
);

    // Module ports
    output X   ;
    input  A1_N;
    input  A2_N;
    input  B1  ;
    input  B2  ;

    // Local signals
    wire nand0_out ;
    wire or0_out   ;
    wire and0_out_X;

    //   Name   Output      Other arguments
    nand nand0 (nand0_out , A2_N, A1_N        );
    or   or0   (or0_out   , B2, B1            );
    and  and0  (and0_out_X, nand0_out, or0_out);
    buf  buf0  (X         , and0_out_X        );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__O2BB2A_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__o2bb2a_m

not to scale



.subckt sky130_fd_sc_lp__o2bb2a_m A1_N A2_N B1 B2 VGND VNB VPB VPWR X
X0 VPWR a_209_535# a_85_187# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 VGND A1_N a_223_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_209_535# A2_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_559_535# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_85_187# a_209_535# a_487_167# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 X a_85_187# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 VGND B1 a_487_167# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_487_167# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 X a_85_187# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_223_47# A2_N a_209_535# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 VPWR A1_N a_209_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X11 a_85_187# B2 a_559_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o2bb2a_0

not to scale



.subckt sky130_fd_sc_lp__o2bb2a_0 A1_N A2_N B1 B2 VGND VNB VPB VPWR X
X0 VPWR A1_N a_229_483# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_229_483# A2_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 X a_80_176# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_224_70# A2_N a_229_483# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_80_176# B2 a_598_483# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 VPWR a_229_483# a_80_176# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_80_176# a_229_483# a_512_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 X a_80_176# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X8 VGND A1_N a_224_70# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_598_483# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 a_512_47# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 VGND B1 a_512_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o2bb2a_1

not to scale



.subckt sky130_fd_sc_lp__o2bb2a_1 A1_N A2_N B1 B2 VGND VNB VPB VPWR X
X0 a_286_492# A2_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_80_21# B2 a_592_492# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 X a_80_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_237_131# A2_N a_286_492# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 X a_80_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VGND A1_N a_237_131# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_80_21# a_286_492# a_506_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_592_492# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 a_506_47# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VPWR A1_N a_286_492# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 VPWR a_286_492# a_80_21# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X11 VGND B1 a_506_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_lp__o2bb2a_2

not to scale


.subckt sky130_fd_sc_lp__o2bb2a_2 A1_N A2_N B1 B2 VGND VNB VPB VPWR X
X0 a_300_21# A2_N a_585_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VGND B2 a_67_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_67_47# a_300_21# a_222_367# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 X a_222_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VPWR A2_N a_300_21# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 a_150_367# B2 a_222_367# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X6 a_67_47# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_300_21# A1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X8 a_585_47# A1_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_222_367# a_300_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X10 VGND a_222_367# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 VPWR B1 a_150_367# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X12 X a_222_367# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 VPWR a_222_367# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__o2bb2a_4

not to scale


.subckt sky130_fd_sc_lp__o2bb2a_4 A1_N A2_N B1 B2 VGND VNB VPB VPWR X
X0 a_49_47# a_462_21# a_218_367# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 VGND a_218_367# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_218_367# B2 a_132_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_49_47# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VPWR a_462_21# a_218_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VPWR A1_N a_462_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_218_367# a_462_21# a_49_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_462_21# A1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VPWR a_218_367# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_132_367# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 X a_218_367# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 VPWR B1 a_132_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 VGND a_218_367# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_218_367# a_462_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 a_462_21# A2_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 VPWR a_218_367# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 X a_218_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 a_768_47# A1_N VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 X a_218_367# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 X a_218_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 VGND B2 a_49_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X21 VGND A1_N a_768_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 a_132_367# B2 a_218_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X23 VPWR A2_N a_462_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X24 a_768_47# A2_N a_462_21# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X25 a_49_47# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X26 a_462_21# A2_N a_768_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X27 VGND B1 a_49_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends