NAME

o22ai from sky130_fd_sc_lp

DESCRIPTION

2-input OR into both inputs of 2-input NAND.

FUNCTION

Y = !((A1 | A2) & (B1 | B2))

VERILOG

"sky130_fd_sc_lp__o22ai"
/*
*/


`ifndef SKY130_FD_SC_LP__O22AI_FUNCTIONAL_V
`define SKY130_FD_SC_LP__O22AI_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__o22ai (
    Y ,
    A1,
    A2,
    B1,
    B2
);

    // Module ports
    output Y ;
    input  A1;
    input  A2;
    input  B1;
    input  B2;

    // Local signals
    wire nor0_out ;
    wire nor1_out ;
    wire or0_out_Y;

    //  Name  Output     Other arguments
    nor nor0 (nor0_out , B1, B2            );
    nor nor1 (nor1_out , A1, A2            );
    or  or0  (or0_out_Y, nor1_out, nor0_out);
    buf buf0 (Y        , or0_out_Y         );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__O22AI_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__o22ai_m

not to scale



.subckt sky130_fd_sc_lp__o22ai_m A1 A2 B1 B2 VGND VNB VPB VPWR Y
X0 VPWR B1 a_198_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 VGND A1 a_85_82# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_85_82# B1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 Y B2 a_85_82# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_85_82# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 Y A2 a_356_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_198_535# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 a_356_535# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o22ai_0

not to scale



.subckt sky130_fd_sc_lp__o22ai_0 A1 A2 B1 B2 VGND VNB VPB VPWR Y
X0 a_27_85# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_307_483# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 Y B2 a_27_85# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VPWR B1 a_143_483# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 Y A2 a_307_483# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 a_143_483# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X6 a_27_85# B1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VGND A1 a_27_85# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o22ai_1

not to scale



.subckt sky130_fd_sc_lp__o22ai_1 A1 A2 B1 B2 VGND VNB VPB VPWR Y
X0 a_341_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_110_367# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_27_69# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 Y B2 a_27_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VGND A1 a_27_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VPWR B1 a_110_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 Y A2 a_341_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_27_69# B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__o22ai_2

not to scale


.subckt sky130_fd_sc_lp__o22ai_2 A1 A2 B1 B2 VGND VNB VPB VPWR Y
X0 Y B1 a_43_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_43_65# B2 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 Y B2 a_43_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_43_65# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VGND A1 a_43_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_43_367# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_491_367# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_43_367# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VPWR B1 a_43_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 VGND A2 a_43_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_43_65# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 a_491_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 Y A2 a_491_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 a_43_65# B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 Y B2 a_43_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 VPWR A1 a_491_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__o22ai_4

not to scale


.subckt sky130_fd_sc_lp__o22ai_4 A1 A2 B1 B2 VGND VNB VPB VPWR Y
X0 a_33_47# B2 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 Y B2 a_33_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 Y B2 a_821_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_33_47# B2 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_821_367# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_33_47# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_33_47# B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 VGND A2 a_33_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_119_367# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_33_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 VPWR A1 a_119_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 VGND A1 a_33_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 a_119_367# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 Y A2 a_119_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 a_119_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 a_33_47# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 VGND A2 a_33_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 a_33_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 a_821_367# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 a_821_367# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 VPWR B1 a_821_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 Y A2 a_119_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X22 Y B2 a_33_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 a_33_47# B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X24 VPWR A1 a_119_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X25 a_821_367# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X26 VGND A1 a_33_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X27 Y B1 a_33_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X28 Y B1 a_33_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X29 Y B2 a_821_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X30 VPWR B1 a_821_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X31 a_119_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends